Anda di halaman 1dari 47

SHRI RAM MURTI SMARAK WOMEN COLLEGE OF ENGINEERING & TECHNOLOGY, BAREILLY

Submitted to Department of EC &AEI in partial fulfillment of B.Tech Degree Seminar report on

Micro-electro-mechanical Systems (MEMS)


Submitted by Hina Bhatia Electronics & Communication Batch:-2008 Roll no:-0845010028

April, 2011

Certificate

This is to certify that the seminar report entitled Micro-electro-mechanical Systems submitted by Ms.Hina Bhatia, in partial fulfillment of the requirement for the award of the degree of Bachelor of Technology in Electronics & Communication to SRMS Womens College of Engineering & Technology, is record of candidates own work carried out by her under my supervision and guidance. I am satisfied with her performance and her presently carried out work.

Mr.Anuj Agarwal Head of the Department Department of EC & AEI SRMSWCET, Bareilly

Acknowledgement
It was a great pleasure to be a part of this seminar. At this stage after the completion of the report, I would like to take this opportunity to thank each and everyone without their support, encouragement and guidance; it would not have been possible to complete it successfully. First and foremost I would like to thank the Almighty, without whose blessings and support, I would not have been able to complete the task assigned to me. Then I would like to thank Mr. Anuj Agarwal Sir, H.O.D EC & AEI Department, SRMSWCET, Bareilly, whose advice and guidance enabled me to complete this report. I would also like to thank Mr. Sanjeev Kumar Sir, Dean SRMSWCET, Bareilly whose continuous support and encouragement acted as a moral support in the completion of this report. I would also like to express my gratitude towards faculty of our department Mr. Kuldeep Kumar Sir, Miss. Nazia Praveen Mam, Miss. Shruti Parashar Mam, Miss. Taru Agarwal Mam and others, whose guidance and critical examination helped a lot in gaining the required knowledge and improving my skills. Lastly, I would like to thank my friends and family members as they acted as a backbone and gave continuous moral support and encouragement to complete the report.

Hina Bhatia

Abstract
Imagine a machine so small that it is imperceptible to the human eye. Imagine working machines no bigger than a grain of pollen. Imagine thousands of these machines batch fabricated on a single piece of silicon, for just a few pennies each. Imagine a world where gravity and inertia are no longer important, but atomic forces and surface science dominates. Imagine a silicon chip with thousands of microscopic mirrors working in unison, enabling the all optical network and removing the bottlenecks from the global telecommunications infrastructure. You are now entering the micro-domain, a world occupied by an explosive technology known as MEMS. A world of challenge and opportunity, where traditional engineering concepts are turned upside down, and the realm of the "possible" is totally redefined. Micro-electro-mechanical systems (MEMS) is the technology of very small mechanical devices driven by electricity. They range in size from the sub micrometer (or sub micron) level to the millimeter level, with a batch of millions of machines fabricated on same silicon substrate. In this report, we will deal with about MEMS are, their fabrication techniques, merits and de-merits and their application in daily life.

Contents Title
1. Introduction 2. History 3. Fundamental of mechanics 4. Mechanical to electrical transduction 5. Materials used for MEMS manufacturing 6. MEMS Basic Processes 7. MEMS Manufacturing Technologies 8. Components of MEMS 9. Advantages of MEMS 10. Current limitations of MEMS 11. Applications of MEMS 12. Future aspects 13. Conclusion 14. References

Pg No.
6 8 10 13 15 16 22 38 40 42 43 45 46 47

Introduction
Micro-Electro-Mechanical Systems, or MEMS, is a technology that in its most general form can be defined as miniaturized mechanical and electro-mechanical elements (i.e., devices and structures) that are made using the techniques of micro-fabrication. It is the integration of mechanical elements, sensors, actuators, and electronics on a common silicon substrate through micro fabrication technology. The critical physical dimensions of MEMS devices can vary from well below one micron on the lower end of the dimensional spectrum, all the way to several millimeters. They usually consist of a central unit that processes data, the microprocessor and several components that interact with the outside such as micro-sensors. Examples of MEMS device applications include inkjet-printer cartridges, accelerometers, miniature robots, micro-engines, locks, inertial sensors, micro-transmissions, micromirrors, micro actuators, optical scanners, fluid pumps, transducers, and chemical, pressure and flow sensors. New applications are emerging as the existing technology is applied to the miniaturization and integration of conventional devices. These systems can sense, control, and activate mechanical processes on the micro scale, and function individually or in arrays to generate effects on the macro scale. The micro fabrication technology enables fabrication of large arrays of devices, which individually perform simple tasks, but in combination can accomplish complicated functions. MEMS are not about any one application or device, nor are they defined by a single fabrication process or limited to a few materials. They are a fabrication approach that conveys the advantages of miniaturization, multiple components, and microelectronics to the design and construction of integrated electromechanical systems. MEMS are not only about miniaturization of mechanical systems; they are also a new paradigm for designing mechanical devices and systems. While the electronics are fabricated using integrated circuit (IC) process sequences (e.g., CMOS, Bipolar, or BICMOS processes), the micromechanical components are fabricated using compatible "micromachining" processes that selectively etch away parts of the silicon wafer or add new structural layers to form the mechanical and electromechanical devices. MEMS promises to revolutionize nearly every product category by bringing together silicon-based microelectronics with micromachining technology, making possible the realization of complete systems-on-a-chip. MEMS is an enabling technology allowing the development of smart products, augmenting the computational ability of microelectronics

with the perception and control capabilities of microsensors and microactuators and expanding the space of possible designs and applications.

Microelectronic integrated circuits can be thought of as the "brains" of a system and MEMS augments this decision-making capability with "eyes" and "arms", to allow microsystems to sense and control the environment. Sensors gather information from the environment through measuring mechanical, thermal, biological, chemical, optical, and magnetic phenomena. The electronics then process the information derived from the sensors and through some decision making capability direct the actuators to respond by moving, positioning, regulating, pumping, and filtering, thereby controlling the environment for some desired outcome or purpose. Because MEMS devices are manufactured using batch fabrication techniques similar to those used for integrated circuits, unprecedented levels of functionality, reliability, and sophistication can be placed on a small silicon chip at a relatively low cost.

History
The invention of the transistor at Bell Telephone Laboratories in 1947 sparked a fastgrowing microelectronic technology. Jack Kilby of Texas Instruments built the first integrated circuit (IC) in 1958 using germanium (Ge) devices. It consisted of one transistor, three resistors, and one capacitor. The IC was implemented on a sliver of Ge that was glued on a glass slide. Later that same year Robert Noyce of Fairchild Semiconductor announced the development of a planar double-diffused Si IC. The complete transition from the original Ge transistors with grown and alloyed junctions to silicon (Si) planar doublediffused devices took about ten years. The success of Si as an electronic material was due partly to its wide availability from silicon dioxide (SiO2) (sand), resulting in potentially lower material costs relative to other semiconductors. Since 1970, the complexity of ICs has doubled every two to three years. The minimum dimension of manufactured devices and ICs has decreased from 20 microns to the sub micron levels of today. Current ultra-large-scale-integration (ULSI) technology enables the fabrication of more than 10 million transistors and capacitors on a typical chip. IC fabrication is dependent upon sensors to provide input from the surrounding environment, just as control systems need actuators (also referred to as transducers) in order to carry out their desired functions. Due to the availability of sand as a material, much effort was put into developing Si processing and characterization tools. These tools are now being used to advance transducer technology. Today's IC technology far outstrips the original sensors and actuators in performance, size, and cost. Attention in this area was first focused on micro-sensor (i.e., micro-fabricated sensor) development. The first micro-sensor, which has also been the most successful, was the Si pressure sensor. In 1954 it was discovered that the piezoresistive effect in Ge and Si had the potential to produce Ge and Si strain gauges with a gauge factor (i.e., instrument sensitivity) 10 to 20 times greater than those based on metal films. As a result, Si strain gauges began to be developed commercially in 1958. The first high-volume pressure sensor was marketed by National Semiconductor in 1974. This sensor included a temperature controller for constant-temperature operation. Improvements in this technology since then have included the utilization of ion implantation for improved control of the piezoresistor fabrication. Si pressure sensors are now a billion-dollar industry. Around 1982, the term micromachining came into use to designate the fabrication of micromechanical parts (such as pressure-sensor diaphragms or accelerometer suspension beams) for Si micro-sensors. The micromechanical parts were fabricated by selectively etching areas of the Si substrate away in order to leave behind the desired geometries. Isotropic etching of Si was developed in the early 1960s for transistor fabrication.
8

Anisotropic etching of Si then came about in 1967. Various etch-stop techniques were subsequently developed to provide further process flexibility. These techniques also form the basis of the bulk micromachining processing techniques. Bulk micromachining designates the point at which the bulk of the Si substrate is etched away to leave behind the desired micromechanical elements. Bulk micromachining has remained a powerful technique for the fabrication of micromechanical elements. However, the need for flexibility in device design and performance improvement has motivated the development of new concepts and techniques for micromachining. Among these is the sacrificial layer technique, first demonstrated in 1965 by Nathanson and Wickstrom, in which a layer of material is deposited between structural layers for mechanical separation and isolation. This layer is removed during the release etch to free the structural layers and to allow mechanical devices to move relative to the substrate. A layer is releasable when a sacrificial layer separates it from the substrate. The application of the sacrificial layer technique to micromachining in 1985 gave rise to surface micromachining, in which the Si substrate is primarily used as a mechanical support upon which the micromechanical elements are fabricated. Prior to 1987, these micromechanical structures were limited in motion. During 1987-1988, a turning point was reached in micromachining when, for the first time, techniques for integrated fabrication of mechanisms (i.e. rigid bodies connected by joints for transmitting, controlling, or constraining relative movement) on Si were demonstrated. During a series of three separate workshops on micro-dynamics held in 1987, the term MEMS was coined. Equivalent terms for MEMS are micro-systems (preferred in Europe) and micro-machines (preferred in Japan).

Fundamental of mechanics
To understand the design and operation of MEMS devices, basic knowledge of mechanics of materials is necessary. These concepts include stress, strain, Hookes law, Possions ratio and film stress. When a solid body of homogenous material is subjected to force, the body will respond to force by changing shape. For non-solid materials the change is dramatic but in case of solid materials the change is very small, often too small to be noticed through eyes except in the case of large force that may cause irreversible change.

Figure1.Rod elongation due to tensile force F Consider a solid rod of length Lo (shown in Fig.1) and diameter D subjected to force F uniformly applied to the ends of the rod. The tensile force will cause the rod to lengthen by amount L. this lengthening of rod is described by axial strain as
o

Strain is defined as the change in length of material per length of the material and is a
dimensionless quantity.

Stress is defined as the force applied to the material per unit area. Its unit is Pascal (Pa).
Tensile stresses are considered as negative, while compressive stresses are taken as positive.

( )2
10

Here the force is termed as axial force as it acts perpendicular to the axis. Shear force acts parallel to the surface of the body and generate shear stress and strain. Materials are also characterized on the basis of their stress-strain curve. Using a tensile test machine, a bar of material under the test is subjected to uniform axial loading force. Sensitive measuring devices determine the change in length as a controlled tensile force is applied to the bar. By measuring the change in length the stress-strain curve is plotted. Curve for both ductile and brittle material is plotted. Linear region ends at stresses corresponding to proportional limit. Stresses beyond the proportional limit leads to permanent deformation of the bar. For ductile material, beyond proportional limit are regions of plastic deformation and reach maximum stress value before fracture. But for brittle material there is no plastic deformation and it fractures soon after reaching proportional limit.

Figure2. Stress-Strain Curve For Brittle Metals And Silicon

Hookes law describes linear relation between stress and strain,

where, E is the slope of linear region of stress-strain curve and is called as Youngs modulus. MEMS devices are generally designed to operate at stresses in the linear region, so is an important parameter in MEMS design. For a single silicon crystal, E=190GPa. Another important mechanical effect is change in lateral dimension due to an axial force F. The change in width is characterized by a lateral strain l, which is related to axial strain a by poissons ratio by

11

For silicon poissons ratio is taken as 0.28 and for other material it ranges from 0.2 to 0.5, with most metals near 0.3.

Stresses in thin films:- control of strain levels in deposited thin films is critical for
many MEMS devices. MEMS mechanical material, require tensile strain less than -.001 or the membrane will break. Strain in thin films is difficult to measure directly and is measured by finding the center deflection i.e. the difference in height between centre and edge assuming uniform bow across the substrate. The stress level is calculated using Stoney equation,

But the limitation of this technique is that an average value for whole wafer is given, with no indication of local stress variation. Other technique for measuring thin film stress involves fabrication of MEMS structures such as cantilever beam and ring beam structures. By using cantilever beam supported at both ends compressive stress can be determined by identifying largest unbroken beam in series of beam of varying dimension. Depending on compressive stress level and young modulus of beam, tensile stress is determined. Stress gradients are serious concern in MEMS devices and results in non-uniformities in thin film deposition process, which cause atomic structure variations that create uneven strain through film. Factors leading to stress are intrinsic or extrinsic stresses. Intrinsic stresses result from non-equilibrium nature of thin film deposition process. Extrinsic stress is caused due to external factors of the film structure. The most common source of extrinsic stress is difference in thermal coefficients of expansion between film deposited and the substrate.

12

Mechanical to electrical transduction


The measurement of physical quantities such as pressure, acceleration and mass change is based on sensing mechanism that converts change in these quantities to electrically measurable parameters such as resistance, capacitance and changes in characteristic frequencies. MEMS sensors have structural elements that move in response to the physical quantity being measured. The common transduction methods are:-

Piezoelectric effect:- It is the phenomena whereby force applied to certain


crystalline material causes an electrical charge to be generated on the surface of the crystal, with the amount of the change directly related to the applied force. This happens because force creates stress in crystal leading to strain. On atomic level strain implies slight change in positions of atom in the crystal. In piezoelectric materials, the unit cell of material contains positively and negatively charged ions that are not symmetrically oriented with respect to each other. The application of stress leads to creation of electric dipoles. These dipoles induce surface charge on the crystal that in turn creates the electric field and cancels the stress induced electric field from dipoles. Piezoelectric material also shows the reverse effect i.e. when an electric field is applied it causes mechanical deformation. This is an important phenomenon in MEMS actuators. The disadvantage of the thin film piezoelectric is the complication they bring to the device processing. Issues include potential contamination of the IC and nonstandard film deposition.

Figure 3.Ion position in piezoelectric material with and without applied pressure.

Capacitance measurements:-Here a pressure sensor is made with flexible


membrane that moves in response to the changes in applied pressure, by putting one
13

electrode of the membrane and fixing the second membrane, a capacitor is created with capacitance as function of the applied pressure. As the pressure increase the membrane moves closer to fixed membrane and capacitance increases and vive versa. The advantage of this technology is the limited temperature dependence the measured capacitance and its simplicity. The disadvantage is the non-linearity of the dependence of the capacitance on the pressure. Accelometers are developed using this technique.

Figure 4.Schematic drawing of pressure sensor using capacitance measurement technique

Signal transduction using magnetic effect such as Halls effect generates a voltage
proportional to the applied magnetic field. These sensors are used in the detection of the electric current, proximity detection and position rotation shafts.

Piezoresistivity:- It is the property of the material that described the change in the
resistance of the material as the function of the mechanical stresses applied to it. Piezoresitivty depends on the silicon doping concentration, temperature, direction of current flow and direction and the type of force relative to the orientation of the crystal.

Figure 5. Phenomena of current flowing in silicon bar and piezoresistivity.

14

Materials used for MEMS manufacturing

Silicon:- It is the material used to create most integrated circuits used in consumer
electronics in the modern world. The economies of scale, ready availability of cheap high-quality materials and ability to incorporate electronic functionality make silicon attractive for a wide variety of MEMS applications. Silicon also has significant advantages engendered through its material properties. In single crystal form, silicon is an almost perfect Hookean material, meaning that when it is flexed there is virtually no hysteresis and hence almost no energy dissipation. As well as making for highly repeatable motion, this also makes silicon very reliable as it suffers very little fatigue and can have service lifetimes in the range of billions to trillions of cycles without breaking. The basic techniques for producing all silicon based MEMS devices are deposition of material layers, patterning of these layers by photolithography and then etching to produce the required shapes.

Polymers:-Even though the electronics industry provides an economy of scale for


the silicon industry, crystalline silicon is still a complex and relatively expensive material to produce. Polymers on the other hand can be produced in huge volumes, with a great variety of material characteristics. MEMS devices can be made from polymers by processes such as injection molding, embossing or stereo-lithography and are especially well suited to micro-fluidic applications such as disposable blood testing cartridges.

Metals:-Metals can also be used to create MEMS elements. While metals do not
have some of the advantages displayed by silicon in terms of mechanical properties, when used within their limitations, metals can exhibit very high degrees of reliability. Metals can be deposited by electroplating, evaporation, and sputtering processes. Commonly used metals include gold, nickel, aluminum, copper, chromium, titanium, tungsten, platinum, and silver.

15

MEMS Basic Processes


MEMS technology is based on a number of tools and methodologies, which are used to form small structures with dimensions in the micrometer scale (one millionth of a meter). Significant parts of the technology have been adopted from integrated circuit (IC) technology. For instance, almost all devices are built on wafers of silicon, like ICs. The structures are realized in thin films of materials, like ICs. They are patterned using photolithographic methods, like ICs. There are however several processes that are not derived from IC technology, and as the technology continues to grow the gap with IC technology also grows. There are three basic building blocks in MEMS technology, which are the ability to deposit thin films of material on a substrate, to apply a patterned mask on top of the films by photolithographic imaging, and to etch the films selectively to the mask. A MEMS process is usually a structured sequence of these operations to form actual devices. Thus these operations are deposition, lithography and etching.

1. Deposition processes:-One of the basic building blocks in MEMS processing is the ability to deposit thin films of material with a thickness anywhere between a few nanometers to about 100 micrometers. Physical deposition:-There are two types of physical deposition processes. Physical vapor deposition (PVD):-It consists of a process in which a material is removed from a target, and deposited on a surface. Techniques to do this include the process of sputtering, in which an ion beam liberates atoms from a target, allowing them to move through the intervening space and deposit on the desired substrate. Evaporation (deposition), in which a material is evaporated from a target using either heat (thermal evaporation) or an electron beam (e-beam evaporation) in a vacuum system. Chemical deposition:-It techniques include chemical vapor deposition ("CVD"), in which a stream of source gas reacts on the substrate to grow the material desired. This can be further divided into categories depending on the details of the technique, for example, LPCVD (Low Pressure chemical vapor deposition) and PECVD (Plasma Enhanced chemical vapor deposition). Oxide films can also be grown by the technique of thermal oxidation, in which the (typically silicon) wafer is exposed to oxygen and/or steam, to grow a thin surface layer of silicon dioxide.

16

2.Patterning:-Patterning in MEMS is the transfer of a pattern into a material. 3.Lithography:-Lithography in MEMS context is typically the transfer of a pattern into a photosensitive material by selective exposure to a radiation source such as light. A photosensitive material is a material that experiences a change in its physical properties when exposed to a radiation source. If a photosensitive material is selectively exposed to radiation (e.g. by masking some of the radiation) the pattern of the radiation on the material is transferred to the material exposed, as the properties of the exposed and unexposed regions differs this exposed region can then be removed or treated providing a mask for the underlying substrate. Photolithography is typically used with metal or other thin film deposition, wet and dry etching.

Photolithography:- It is a process used in micro-fabrication to selectively remove parts of a thin film or the bulk of a substrate. It uses light to transfer a geometric pattern from a photo mask to a light-sensitive chemical "photoresist", or simply "resist," on the substrate. A series of chemical treatments then either engraves the exposure pattern into, or enables deposition of a new material in the desired pattern upon, the material underneath the photo resist. Electron beam lithography:-It is the practice of scanning a beam of electrons in a patterned fashion across a surface covered with a film called the resist, exposing the resist and of selectively removing either exposed or non-exposed regions of the resist developing. The purpose, is to create very small structures in the resist that can subsequently be transferred to the substrate material, often by etching. It was developed for manufacturing integrated circuits, and is also used for creating nanotechnology architectures. The primary advantage of electron beam lithography is that it is one of the ways to beat the diffraction limit of light and make features in the nanometer regime. This form of maskless lithography has found wide usage in photomask-making used in photolithography, low-volume production of semiconductor components, and research & development. The key limitation of electron beam lithography is throughput, i.e., the very long time it takes to expose an entire silicon wafer or glass substrate. A long exposure time leaves the user vulnerable to beam drift or instability which may occur during the exposure. Also, the turn-around time for reworking or re-design is lengthened unnecessarily if the pattern is not being changed the second time.

17

Ion beam lithography:-It is the practice of scanning a focused beam of ions in a patterned fashion across a surface in order to create very small structures such as integrated circuits or other nanostructures. Ion beam lithography has been found to be useful for transferring high-fidelity patterns on three-dimensional surfaces.

X-ray lithography:-It is a process used in electronic industry to selectively remove parts of a thin film. It uses X-rays to transfer a geometric pattern from a mask to a light-sensitive chemical photoresist, or simply "resist," on the substrate. A series of chemical treatments then engraves the produced pattern into the material underneath the photoresist.

4.Etching processes:-There are two basic categories of etching processes:

Wet etching:-It consists in selective removal of material by dipping a substrate


into a solution that dissolves it. The chemical nature of this etching process provides a good selectivity, which means the etching rate of the target material is considerably higher than the mask material if selected carefully. Various type of wet etching techniques are: Isotropic etching:- Here, etching progresses at the same speed in all directions. Long and narrow holes in a mask will produce v-shaped grooves in the silicon. The surface of these grooves can be atomically smooth if the etch is carried out correctly, with dimensions and angles being extremely accurate. Anisotropic etching;-Some single crystal materials, such as silicon, will have different etching rates depending on the crystallographic orientation of the substrate. This is known as anisotropic etching and one of the most common examples is the etching of silicon in KOH (potassium hydroxide), where Si <111> planes etch approximately 100 times slower than other planes (crystallographic orientations). Therefore, etching a rectangular hole in a (100)-Si wafer results in a pyramid shaped etch pit with 54.7 walls, instead of a hole with curved sidewalls as with isotropic etching. HF etching:-Hydrofluoric acid is commonly used as an aqueous etchant for silicon dioxide, usually in 49% concentrated form.They were first used in medieval times for glass etching. It was used in IC fabrication for patterning the gate oxide until the process step was replaced by RIE.
18

Electrochemical etching (ECE) for dopant-selective removal of silicon is a common method to automate and to selectively control etching. An active pn diode junction is required, and either type of dopant can be the etchresistant (etch-stop) material. Boron is the most common etch-stop dopant. In combination with wet anisotropic etching as described above, ECE has been used successfully for controlling silicon diaphragm thickness in commercial piezoresistive silicon pressure sensors. Selectively doped regions can be created either by implantation, diffusion, or epitaxial deposition of silicon.

Dry etching:- It refers to the removal of material, typically a masked pattern of


semiconductor material, by exposing the material to a bombardment of ions (usually a plasma of reactive gases such as fluorocarbons, oxygen, chlorine, boron trichloride; sometimes with addition of nitrogen, argon, helium and other gases) that dislodge portions of the material from the exposed surface. The dry etching process typically etches directionally or anisotropically.various dry etching techniques are: Xenon difluoride etching:-XeF2 is a dry vapor phase isotropic etch for silicon originally applied for MEMS in 1995 at University of California, Los Angeles. Primarily used for releasing metal and dielectric structures by undercutting silicon, XeF2 has the advantage of a stiction-free release unlike wet etchants. Its etch selectivity to silicon is very high, allowing it to work with photoresist, SiO2, silicon nitride, and various metals for masking. Its reaction to silicon is "plasmaless", is purely chemical and spontaneous and is often operated in pulsed mode. Models of the etching action are available, and university laboratories and various commercial tools offer solutions using this approach.

Plasma etching:- It is a form of plasma processing used to fabricate integrated circuits. It involves a high-speed stream of glow discharge (plasma) of an appropriate gas mixture being shot (in pulses) at a sample. The plasma source, known as etch species, can be either charged (ions) or neutral (atoms and radicals). During the process, the plasma will generate volatile etch products at room temperature from the chemical reactions between the elements of the material etched and the reactive species generated by the plasma. Eventually the atoms of the shot element embed

19

themselves at or just below the surface of the target, thus modifying the physical properties of the target.[1]

Sputtering:- It is a process whereby atoms are ejected from a solid target material due to bombardment of the target by energetic particles.[1] It is commonly used for thin-film deposition, etching and analytical techniques.

Reactive ion etching (RIE):-In reactive ion etching (RIE), the substrate is placed inside a reactor, and several gases are introduced. A plasma is struck in the gas mixture using an RF power source, which breaks the gas molecules into ions. The ions accelerate towards, and react with, the surface of the material being etched, forming another gaseous material. This is known as the chemical part of reactive ion etching. There is also a physical part, which is similar to the sputtering deposition process. If the ions have high enough energy, they can knock atoms out of the material to be etched without a chemical reaction. It is a very complex task to develop dry etch processes that balance chemical and physical etching, since there are many parameters to adjust. By changing the balance it is possible to influence the anisotropy of the etching, since the chemical part is isotropic and the physical part highly anisotropic the combination can form sidewalls that have shapes from rounded to vertical. RIE can be deep (Deep RIE or deep reactive ion etching (DRIE)). Deep reactive ion etching:-Deep RIE (DRIE) is a special subclass of RIE that is growing in popularity. In this process, etch depths of hundreds of micrometres are achieved with almost vertical sidewalls. The primary technology is based on the so-called "Bosch process",named after the German company Robert Bosch, which filed the original patent, where two different gas compositions alternate in the reactor. Currently there are two variations of the DRIE. The first variation consists of three distinct steps (the Bosch Process as used in the Plasma-Therm tool) while the second variation only consists of two steps (ASE used in the STS tool). In the 1st Variation, the etch cycle is as follows: (i) SF6 isotropic etch; (ii) C4F8 passivation; (iii) SF6 anisoptropic etch for floor cleaning. In the second variation, steps (i) and (iii) are combined. Both variations operate similarly. The C4F8 creates a polymer on the surface of the substrate, and the second gas composition (SF6 and O2) etches the substrate. The polymer is immediately sputtered away by the physical part of the etching, but only on
20

the horizontal surfaces and not the sidewalls. Since the polymer only dissolves very slowly in the chemical part of the etching, it builds up on the sidewalls and protects them from etching. As a result, etching aspect ratios of 50 to 1 can be achieved. The process can easily be used to etch completely through a silicon substrate, and etch rates are 36 times higher than wet etching. 5.Dicing: The finished wafer is sawed or machined into small squares, or dice, from which electronic components can be made. 6.Packaging: The individual sections are then packaged, a process that involves physically locating, connecting, and protecting a device or component. MEMS design is strongly coupled to the packaging requirements, which in turn are dictated by the application environment.

21

MEMS Manufacturing Technologies


Various manufacturing technologies of MEMS are discussed below:-

Bulk Micromachining
The oldest micromachining technology is bulk micromachining. This technique involves the selective removal of the substrate material in order to realize miniaturized mechanical components. Bulk micromachining can be accomplished using chemical or physical means, with chemical means being far more widely used in the MEMS industry.

A widely used bulk micromachining technique is chemical wet etching, which involves the immersion of a substrate into a solution of reactive chemical that will etch exposed regions of the substrate at measurable rates. Chemical wet etching is popular in MEMS because it can provide a very high etch rate and selectivity. Furthermore, the etch rates and selectivity can be modified by: altering the chemical composition of the etch solution; adjusting the etch solution temperature; modifying the dopant concentration of the substrate; and modifying which crystallographic planes of the substrate are exposed to the etchant solution.

There are two general types of chemical wet etching in bulk micromachining: isotropic wet etching and anisotropic wet etching. In isotropic wet etching, the etch rate is not dependent on the crystallographic orientation of the substrate and the etching proceeds in all directions at equal rates. In theory, lateral etching under the masking layer etches at the same rate as the etch rate in normal direction. However, in practice lateral etching is usually much slower without stirring, and consequently isotropic wet etching is almost always performed with vigorous stirring of the etchant solution. Figure 6 illustrates the profile of the etch using an isotopic wet etchant with and without stirring of the etchant solution.

Figure 6: Illustration of the etch profile, with and without stirring, using an isotropic wet chemical etchant.
22

Any etching process requires a masking material to be used, with preferably a high selectivity relative to the substrate material. Common masking materials for isotropic wet silicon etching include silicon dioxide and silicon nitride. Silicon nitride has a much lower etch rate compared to silicon dioxide and therefore is more frequently used.

The etch rate of some isotropic wet etchant solution mixtures are dependent on the dopant concentration of the substrate material. For example: the commonly used mixture of HC2H3O2:HNO3:HF in the ratio of 8:3:1 will etch highly doped silicon (> 5 x 1018 atoms/cm3) at a rate of 50 to 200 microns/hour, but will etch lightly doped silicon material at a rate 150 times less. Nevertheless, the etch rate selectivity with respect to dopant concentration is highly dependent on solution mixture.

The much more widely used wet etchants for silicon micromachining are anisotropic wet etchants. Anisotropic wet etching involves the immersion of the substrate into a chemical solution wherein the etch rate is dependent on crystallographic orientation of the substrate. The mechanism by which the etching varies according to silicon crystal planes is attributed to the different bond configurations and atomic density that the different planes exposed to the etchant solution. Wet anisotropic chemical etching is typically described in terms of etch rates according to the different normal crystallographic places, usually <100>, <110>, and <111>. In general, silicon anisotropic etching etches more slowly along the <111> planes than all the other planes in the lattice and the difference in etch rate between the different lattice directions can be as high as 1000 to 1. It is thought that the reason for the slower etch rate of the <111> planes is that these planes have the highest density of exposed silicon atoms in the etchant solution, as well as 3 silicon bonds below the plane, thereby leading to some amount of chemical shielding of the surface.

The ability to delineate the different crystal planes of the silicon lattice in anisotropic wet chemical etching provides a high-resolution etch capability with reasonably tight dimensional control. It also provides the ability for two-sided processing to embody selfisolated structures wherein only one side is exposed to the environment. This assists in packaging of the device and is very useful for MEMS devices exposed to harsh environments, such as pressure sensors. Anisotropic etching techniques have been around for over 25 years and are commonly used in the manufacturing of silicon pressure sensors as well as bulk micro-machined accelerometers. Figure 7 below is an illustration of some of the shapes that are possible using anisotropic wet etching of <100> oriented silicon substrates including an inverted pyramidal and a flat bottomed trapezoidal etch pit.

23

Figure 7: Illustration of shape of the etch profiles of a <100> oriented silicon substrate after immersion in an anisotropic wet etchant solution.

Figures 8a and 8b are SEM photographs of a silicon substrate after an anisotropic wet etching. Figure 8a shows a trapezoidal etch pit that has been subsequently diced across the etch pit and Figure 8b shows the backside of a thin membrane that could be used to make a pressure sensor. It is important to note that the etch profiles shown in the Figures are only for a <100> oriented silicon wafer; substrates with other crystallographic orientations will exhibit different shapes. Occasionally, substrates with other orientations are used in MEMS fabrication, but given the cost, lead times and availability, the vast majority of substrates used in bulk micromachining have <100> orientation.

Fig:8a

Fig:8b

Figures 8a and 8b: SEMS of a <100> oriented silicon substrate after immersion in an anisotropic wet etchant.
24

Useful anisotropic wet etching requires the ability to successfully mask certain areas of the substrate and consequently an important criterion for selecting an etchant is the availability of good masking materials. Silicon nitride is a commonly used masking material for anisotropic wet etchants since it has a very low etch rate in most etchant solutions. Some care must be exercised in the type of silicon nitride used, since any pin hole defects will result in the attack of the underlying silicon. Also, some low-stress silicon-rich nitrides can etch at much higher rates compared to stoichiometric silicon nitride formulations. Thermally grown SiO2 is frequently used as a masking material, but some care must be exercised to ensure a sufficiently thick masking layer when using KOH etchants, since the etch rates of oxide can be high. Photoresists are unusable in any anisotropic etchant. Many metals including Ta, Au, Cr, Ag, and Cu hold up well in EDP and Al holds up in TMAH under certain conditions. In general, the etch rate, etch rate ratios (100)/(111), and etch selectivitys of anisotropic etchants are strongly dependent on chemical composition and temperature of the etchant solution.

Frequently, when using bulk micromachining it is desirable to make thin membranes of silicon or control the etch depths very precisely. As with any chemical process, the uniformity of the etching can vary across the substrate, making this difficult. Timed etches whereby the etch depth is determined by multiplying the etch rate by the etch time are difficult to control and etch depth is very dependent on sample thickness uniformity, etchant species diffusion effects, loading effects, etchant aging, surface preparation, etc. To allow a higher level of precision in anisotropic etching the MEMS field has developed solutions to this problem, namely etch stops. Etch stops are very useful to control the etching process and provide uniform etch depths across the wafer, from wafer to wafer, and from wafer lot to wafer lot. There are two basic types of etch stop methods that are used in micromachining: dopant etch stops and electrochemical etch stops.

Surface Micromachining
Surface micromachining is another very popular technology used for the fabrication of MEMS devices. There are a very large number of variations of how surface micromachining is performed, depending on the materials and etchant combinations that are used. However, the common theme involves a sequence of steps starting with the deposition of some thin-film material to act as a temporary mechanical layer onto which the actual device layers are built; followed by the deposition and patterning of the thin-film device layer of material which is referred to as the structural layer; then followed by the

25

removal of the temporary layer to release the mechanical structure layer from the constraint of the underlying layer, thereby allowing the structural layer to move. An illustration of a surface micromachining process is shown in Figure 9, wherein an oxide layer is deposited and patterned. This oxide layer is temporary and is commonly referred to as the sacrificial layer. Subsequently, a thin film layer of polysilicon is deposited and patterned and this layer is the structural mechanical layer. Lastly, the temporary sacrificial layer is removed and the polysilicon layer is now free to move as a cantilever.
.

Figure 9: Illustration of a surface micromachining process

Some of the reasons surface micromachining is so popular is that it provides for precise dimensional control in the vertical direction. This is due to the fact that the structural and sacrificial layer thicknesses are defined by deposited film thicknesses which can be accurately controlled. Also, surface micromachining provides for precise dimensional control in the horizontal direction, since the structural layer tolerance is defined by the fidelity of the photolithography and etch processes used. Other benefits of surface micromachining are that a large variety of structure, sacrificial and etchant combinations can be used; some are compatible with microelectronics devices to enable integrated MEMS devices. Surface micromachining frequently exploits the deposition characteristics of thin-films such as conformal coverage using LPCVD. Lastly, surface micromachining uses single-sided wafer processing and is relatively simple. This allows higher integration density and lower resultant per die cost compared to bulk micromachining.

One of the disadvantages of surface micromachining is that the mechanical properties of most deposited thin-films are usually unknown and must be measured. Also it is common for these types of films to have a high state of residual stress, frequently necessitating a high temperature anneal to reduce residual stress in the structural layer. Also, the reproducibility of the mechanical properties in these films can be difficult to achieve. Additionally, the release of the structural layer can be difficult due to a stiction effect whereby the structural layer is pulled down and stuck to the underlying substrate due to
26

capillary forces during release. Stiction can also occur in use and an anti-stiction coating material may be needed. The most commonly used surface micromachining process and material combination is a PSG sacrificial layer, a doped polysilicon structural layer, and the use of Hydrofluoric acid as the etchant to remove the PSG sacrificial layer and release the device. This type of surface micromachining process is used to fabricate the Analog Devices integrated MEMS accelerometer device used for crash airbag deployment. Figure 10 and Figure 11are SEMs of two surface micro-machined polysilicon MEMS devices.

Figure 10: Polysilicon micro-motor fabricated using a surface micromachining process.

Figure 11: Polysilicon resonator structure fabricated using a surface micromachining process.
27

Another variation of the surface micromachining process is to use a metal structural layer, a polymer layer as the sacrificial layer, and an O2 plasma as the etchant. The advantage of this process is that the temperature of the sacrificial and structural layer depositions are sufficiently low so as not to degrade any microelectronics in the underlying silicon substrate, thereby integrating MEMS with electronics. Also, since the sacrificial layer is removed without immersion in a liquid, problems associated with stiction during release are avoided. A process similar to this is used to produce the Texas Instruments Digital Light Processor (DLP) device used in projection systems.

Wafer Bonding
Wafer bonding is a micromachining method that is analogous to welding in the macro-scale world and involves the joining of two (or more) wafers together to create a multi-wafer stack. There are three basic types of wafer bonding including: o Direct Or Fusion Bonding o Field-Assisted Or Anodic Bonding o Bonding Using An Intermediate Layer.

In general, all bonding methods require substrates that are very flat, smooth, and clean, in order for the wafer bonding to be successful and free of voids.

Direct or fusion bonding is typically used to mate two silicon wafers together or alternatively to mate one silicon wafer to another silicon wafer that has been oxidized. Direct wafer bonding can be performed on other combinations, such as bare silicon to a silicon wafer with a thin-film of silicon nitride on the surface as well.

As mentioned, wafer bonding is analogous to welding in the macro-scale world. Wafer bonding is used to attach a thick layer of single crystal silicon onto another wafer. This can be extremely useful when it is desired to have a thick layer of material for applications requiring appreciable mass or in applications where the material properties of single crystal silicon are advantageous over those of thin-film LPCVD materials. Direct wafer bonding is also used to fabricate Silicon-On-Insulator (SOI) wafers having device layers several microns or more in thickness.

Another popular wafer bonding technique is anodic bonding. In anodic bonding a silicon wafer is bonded to a Pyrex 7740 wafer using an electric field and elevated temperature. The two wafers can be pre-processed prior to bonding and can be aligned during the bonding procedure. The mechanism by which anodic bonding works is based on the fact that Pyrex
28

7740 has a high concentration of Na+ ions; a positive voltage applied to the silicon wafer drives the Na+ ions from the Pyrex glass surface, thereby creating a negative charge at glass surface. The elevated temperature during the bonding process allows the Na+ ions to migrate in the glass with relative ease. When the Na+ ions reach the interface, a high field results between silicon and glass, and this combined with the elevated temperatures fuses the two wafers together. As with direct wafer bonding, it is imperative that the wafers are flat, smooth, and clean and that the anodic bonding process is performed in a very clean environment. An advantage of this process is that Pyrex 7740 has a thermal expansion coefficient nearly equal to silicon and therefore there is a low value of residual stress in the layers. Anodic bonding is a widely used technique for MEMS packaging.

In addition to direct and anodic bonding there are other wafer bonding techniques that are used in MEMS fabrication. One method is eutectic bonding and involves the bonding of a silicon substrate to another silicon substrate at an elevated temperature using an intermediate layer of gold on the surface of one of the wafers. Eutectic bonding works because the diffusion of gold into silicon is extremely rapid at elevated temperatures. In fact this is a preferred method of wafer bonding at relatively low temperatures.

Another wafer bonding technique used in MEMS is glass frit bonding. In this process a glass is spun or screen-printed onto a substrate surface. Subsequently this wafer is physically contacted to another wafer and the composite is annealed to flow the glass intermediate layer and bond the two wafers.

Lastly, various polymers can be used as intermediate layers to bond wafers including epoxy resins, photoresists, polyimides, silicones, etc. This technique is commonly used during various fabrication steps in MEMS such as when the device wafer becomes too fragile to handle without mechanical support.

High-Aspect Ratio MEMS Fabrication Technologies


Both bulk and surface silicon micromachining are used in the industrial production of sensors, ink-jet nozzles, and other devices. But in many cases the distinction between these two has diminished. A new etching technology, deep reactive-ion etching, has made it possible to combine good performance typical of bulk micromachining with comb structures and in-plane operation typical of surface micromachining. While it is common in surface micromachining to have structural layer thickness in the range of 2 m, in HAR silicon micromachining the thickness can be from 10 to 100 m. The materials commonly
29

used in HAR silicon micromachining are thick polycrystalline silicon, known as epi-poly, and bonded silicon-on-insulator (SOI) wafers although processes for bulk silicon wafer also have been created (SCREAM). Bonding a second wafer by glass frit bonding, anodic bonding or alloy bonding is used to protect the MEMS structures. Integrated circuits are typically not combined with HAR silicon micromachining. The consensus of the industry at the moment seems to be that the flexibility and reduced process complexity obtained by having the two functions separated far outweighs the small penalty in packaging.

Deep Reactive Ion Etching of Silicon:Deep reactive ion etching or DRIE is a relatively new fabrication technology that has been widely adopted by the MEMS community. This technology enables very high aspect ratio etches to be performed into silicon substrates. The sidewalls of the etched holes are nearly vertical and the depth of the etch can be hundreds or even thousands of microns into the silicon substrate.

Figure 12 illustrates how deep reactive ion etching is accomplished. The etch is a dry plasma etch and uses a high density plasma to alternately etch the silicon and deposit an etch resistant polymer layer on the sidewalls. The etching of the silicon is performed using a SF6 chemistry whereas the deposition of the etch resistant polymer layer on the sidewalls uses a C4F8 chemistry. Mass flow controllers alternate back and forth between these two chemistries during the etch. The protective polymer layer is deposited on the sidewalls as well as on the bottom of the etch pit, but the anisotropy of the etch removes the polymer at the bottom of the etch pit faster than the polymer is removed from the sidewalls. The sidewalls are not perfected or optically smooth and if the sidewall is magnified under SEM inspection, a characteristic washboard or scalloping pattern is seen in the sidewalls. The etch rates on most commercial DRIE systems varies from 1 to 4 microns per minute. DRIE systems are single wafer tools. Photoresist can be used as a masking layer for DRIE etching. The selectivity with photoresist and oxide is about 75 to 1 and 150 to 1, respectively. For a through wafer etch a relatively thick photoresist masking layer will be required. The aspect ratio of the etch can be as high as 30 to 1, but in practice tends to be 15 to 1. The process recipe depends on the amount of exposed silicon due to loading effects in the system, with larger exposed areas etching at a much faster rate compared to smaller exposed areas. Consequently, the etch must frequently be characterized for the exact mask feature and depth to obtain desirable results.

30

Figure 12: Illustration of how deep reactive ion etching works. Figure 13 is a SEM of a MEMS component fabricated using DRIE and wafer bonding. This device was made using an SOI wafer wherein a backside etch was performed through the handle wafer, stopping on the buried oxide layer, and a frontside DRIE was performed on the SOI device layer. Then the buried oxide was removed to release the microstructure, allowing it to freely move.

Figure 13: SEM of a MEMS device fabricated using two sided DRIE etching technology on an SOI wafer.

31

Figure 14 is a cross section SEM of a silicon microstructure fabricated using DRIE technology. As can be seen, the etch is very deep into the silicon substrate and the sidewalls are nearly vertical.

Figure 14: SEM of the cross section of a silicon wafer demonstrating high-aspect ratio and deep trenches that can be fabricated using DRIE technology.

Deep Reactive Ion Etching of Glass:Glass substrates can also be etched deep into the material with high aspect ratios and this technology has been gaining in popularity in MEMS fabrication. Figure 15 shows a structure fabricated into glass using this technology. The typical etch rates for high aspect ratio glass etching range between 250 and 500 nm per minute. Depending on the depth of the photoresist, metal or a polysilicon can be used as a mask.

Figure 15: SEM of high aspect ratio structures etched into a glass substrate.

32

LIGA
Another popular high aspect ratio micromachining technology is called LIGA, which is a German acronym for LIthographie Galvanoformung Adformung. This is primarily a nonsilicon based technology and requires the use of synchrotron generated x-ray radiation. The basic process is outlined in Figure 16 and starts with the cast of an x-ray radiation sensitive PMMA onto a suitable substrate. A special x-ray mask is used for the selective exposure of the PMMA layer using x-rays. The PMMA is then developed and will be defined with extremely smooth and nearly perfectly vertical sidewalls. Also, the penetration depth of the x-ray radiation into the PMMA layer is quite deep and allows exposure through very thick PMMA layers, up to and exceeding 1 mm. After the development, the patterned PMMA acts as a polymer mold and is placed into an electroplating bath and Nickel is plated into the open areas of the PMMA. The PMMA is then removed, thereby leaving the metallic microstructure (Figure 17).

Figure 16: An illustration of the steps involved in the LIGA process to fabricate high aspect ratio MEMS devices.
33

Figure 17: A tall, high aspect ratio gear made using LIGA technology.

Because LIGA requires a special mask and a synchrotron (X-ray) radiation source for the exposure, the cost of this process is relatively expensive. A variation of the process which reduces the cost of the micro-machined parts made with this process is to reuse the fabricated metal part (step 5) as a tool insert to imprint the shape of the tool into a polymer layer (step 3), followed by electroplating of metal into the polymer mold (step 4) and removal of the polymer mold (step 5). Obviously this sequence of steps eliminates the need for a synchrotron radiation source each time a part is made and thereby significantly lowers the cost of the process. The dimensional control of this process is quite good and the tool insert can be used many times before it is worn out.

Hot Embossing
A process to replicate deep high-aspect ratio structures in polymer materials is to fabricate the metal tool insert using LIGA or a comparable technology and then to emboss the tool insert pattern into a polymer substrate, which is then used as the part. Figure 18 is a diagram illustrating the hot embossing process. A mold insert is made using an appropriate fabrication method having the inverse pattern made into it. The mold insert is placed into a hot embossing system that includes a chamber in which a vacuum can be drawn. The substrate and polymer are heated to above the glass transition temperature, Tg, of the polymer material and the mold insert is pressed into the polymer substrate. The vacuum is critical for the polymer to faithfully replicate the features in the mold insert since otherwise air would be trapped between the two surfaces resulting in distorted features. Subsequently, the substrates are cooled to below the glass transition temperature of the polymer material and force is applied to de-emboss the substrates. As shown in Figure 20, hot embossing can successfully replicate complicated, deep and high-aspect ratio features. This process can make imprints into a polymer hundreds of microns deep with very good dimensional control. The advantage of this process is that the cost of the individual polymer parts can be very low compared to the same structures made
34

using other technologies. Because of the overwhelming cost advantages combined with very good performance, this polymer molding process is very popular for producing microfluidic components for medical applications.

Heat plastic substrate and mold insert to above plastic Tg, pull vacuum on chamber apply forces to emboss plastic substrate. Cool plastic substrate and mold insert to de-molding temperature and apply force to de-emboss.

Figure 18: Illustration of the hot embossing process to create micro-devices

Figure 19: Photograph of a hot embossing platform during use.

35

Figure 20: SEM of a variety of small test structures made in a plastic substrate using hot embossing technology. The height of the plastic microstructures is nearly 300 um and the smallest features have a diameter of about 25 um.

Other Micromachining Technologies


In addition to bulk micromachining, surface micromachining, wafer bonding, and high aspect ratio micromachining technologies, there are a number of other techniques used to fabricate MEMS devices.

Electro-Discharge Micromachining
Electro-discharge micromachining or micro-EDM is a process used to machine a conductive material using electrical breakdown discharges to remove material. A working electrode is made from a metal material onto which high voltage pulses are applied. The working electrode is brought into close proximity to the material to be machined, which is immersed in a dielectric fluid. The minimum sized features that can be made with microEDM are dependent on the size of the working electrode and how it is fixture, but holes as small as tens of microns have been made using this method. One issue with micro-EDM is that it is a slow serial process. Laser Micromachining Lasers can generate an intense amount of energy in very short pulses of light and direct that energy onto a selected region of material for micromachining. Among the many types of lasers now in use for micromachining include: CO2, YAG, excimer, etc. Each has its own unique properties and capabilities suited to particular applications. Factors that determine the type of laser to use for a particular application include laser wavelength, energy, power, and temporal and spatial modes; material type; feature sizes and tolerances; processing speed; and cost. The action of CO2 and Nd:YAG lasers is essentially a thermal process, whereby focusing optics are used to direct a predetermined energy/power density to a welldefined location on the work piece to melt or vaporize the material. Another mechanism, which is non-thermal and referred to as photoablation, occurs when organic materials are
36

exposed to ultraviolet radiation generated from excimer, harmonic YAG, or other UV source. Similar to microEDM, laser micromachining can produce features on the order of tens of microns, but it is a serial process and therefore slower.

MEMS Process Integration Process integration is defined as understanding, characterizing and optimizing to the extent possible, the interrelationship of the individual processing steps in a process sequence. Given the customization of MEMS process sequences, it should not be surprising that process integration is of critical importance. Also, MEMS developers must have good data on the electrical materials properties as well as data on the mechanical material properties. Coupled with the enormous diversity of materials and processing techniques used in MEMS fabrication, means that process integration can be a major part of a product development effort.

37

Components of MEMS
There are basically four major components of MEMS. These are: Microactuator Microstructure Microelectronics

Microactuator
A microactuator is a microscopic servomechanism that supplies and transmits a measured amount of energy for the operation of another mechanism or system. As a general actuator, following standards have to be met.

Large travel High precision Fast switching Low power consumption Power free force sustainability

Various types of actuator are Electrostatic, Electromagnetic, Piezoelectric, Fluid, Thermal.

Figure 21 shows micro-actuator used in hard drives.

38

Microsensor
A Microsensor is a miniature electronic device that detects information about a specific variable such as temperature or light. It is a very small sensor with physical dimensions in the submicrometer to millimeter range.

Figure 22 shows a microsensor

Microstructure
Microstructure is defined as the structure of a prepared surface or thin foil of material as revealed by a microscope above 25 magnification. The microstructure of a material (which can be broadly classified into metallic, polymeric, ceramic and composite) can strongly influence physical properties such as strength, toughness, ductility, hardness, corrosion resistance, high/low temperature behavior, wear resistance, and so on, which in turn govern the application of these materials in industrial practice.

Figure 23 shows microstructure of a silicon material

Microelectronics
Microelectronics is a subfield of electronics. Microelectronics, as the name suggests, is related to the study and manufacture, or micro-fabrication, of electronic components which are very small (usually micrometre-scale or smaller, but not always). These devices are made from semiconductors. Many components of normal electronic design are available in microelectronic equivalent: transistors, capacitors, inductors, resistors, diodes and of course insulators and conductors can all be found in microelectronic devices
39

Advantages of MEMS
1. MEMS devices are made using integrated circuit-like processes, which enables the ability to integrate multiple functionalities onto a single microchip. The ability to integrate miniaturized sensors, miniaturized actuators and miniaturized structures along with microelectronics has far-reaching implications in countless products and applications.

2. MEMS borrow many of the production techniques of batch fabrication from the integrated circuit industry and therefore, the per-unit device or microchip cost of complex miniaturized electromechanical systems can be radically reduced - similar to the per die cost reductions we have experienced in the IC industry. Although the cost of the production equipment and each wafer can be relatively high, the fact that this cost can be spread over many die in batch fabrication production can drastically lower the per part cost.

3. Integrated circuit fabrication techniques coupled with the tremendous advantages of silicon and many other thin-film materials in mechanical applications allows the reliability of miniaturized electromechanical systems to be radically improved. It is well known that the most expensive and most unreliable components of a conventional macro-scale control system are the sensors and actuators. It is expected that as these miniaturized sensors and actuators are integrated onto a single microchip with electronics, we will see similar improvements in system reliability such as we have experienced in the transition from discrete electronics on a printed circuit board to integrated circuits. The lower cost of these miniaturized electromechanical systems also allows them to be easily and massively deployed and more easily maintained and replaced as needed.

4. Miniaturization of micro-systems enables many benefits including: increased portability, lower power consumption, and the ability to place radically more functionality in a smaller amount of space and without any increase in weight.

5. The ability to make the signal paths smaller and place radically more functionality in a small amount of space allows the overall performance of electromechanical systems to be enormously improved.

40

6. In short, MEMS translates into products that have lower cost, higher functionality, improved reliability and increased performance.

Current challenges with MEMS


MEMS technology is currently used in low- or medium-volume applications. Some of the obstacles preventing its wider adoption are:

1. Limited Options
Most companies who wish to explore the potential of MEMS have very limited options for prototyping or manufacturing devices, and have no capability or expertise in microfabrication technology. Few companies will build their own fabrication facilities because of the high cost. A mechanism giving smaller organizations responsive and affordable access to MEMS and Nano- fabrication is essential. 2. Packaging The packaging of MEMS devices and systems needs to improve considerably from its current primitive state. MEMS packaging is more challenging than IC packaging due to the diversity of MEMS devices and the requirement that many of these devices be in contact with their environment. Currently almost all MEMS development efforts must develop a new and specialized package for each new device. Most companies find that packaging is the single most expensive and time consuming task in their overall product development program. As for the components themselves, numerical modeling and simulation tools for MEMS packaging are virtually non-existent. Approaches which allow designers to select from a catalog of existing standardized packages for a new MEMS device without compromising performance would be beneficial.

3. Fabrication Knowledge Required


Currently the designer of a MEMS device requires a high level of fabrication knowledge in order to create a successful design. Often the development of even the most mundane MEMS device requires a dedicated research effort to find a suitable process sequence for fabricating it. MEMS device design needs to be separated from the complexities of the process sequence.

41

Applications
There are numerous possible applications for MEMS and Nanotechnology. As a breakthrough technology, allowing unparalleled synergy between previously unrelated fields such as biology and microelectronics, many new MEMS and Nanotechnology applications will emerge, expanding beyond that which is currently identified or known. Here are a few applications of current interest: 1.Biotechnology:-MEMS is enabling new discoveries in science and engineering such as the Polymerase Chain Reaction (PCR) micro-systems for DNA amplification and identification, enzyme linked immunosorbent assay (ELISA), capillary electrophoresis, electroporation, micro-machined Scanning Tunneling Microscopes (STMs), biochips for detection of hazardous chemical and biological agents, and micro-systems for highthroughput drug screening and selection.

2.Medicine:-There are a wide variety of applications for MEMS in medicine. The first and by far the most successful application of MEMS in medicine (at least in terms of number of devices and market size) are MEMS pressure sensors, which have been in use for several decades. The market for these pressure sensors is extremely diverse and highly fragmented, with a few high-volume markets and many lower volume ones. Some of the applications of MEMS pressure sensors in medicine include: The largest market for MEMS pressure sensors in the medical sector is the disposable sensor used to monitor blood pressure in IV lines of patients in intensive care.

MEMS pressure sensors are used to measure intrauterine pressure during birth. The device is housed in a catheter that is placed between the baby's head and the uterine wall. During delivery, the baby's blood pressure is monitored for problems during the mother's contractions.

MEMS pressure sensors are used in hospitals and ambulances as monitors of a patients vital signs, specifically the patients blood pressure and respiration.

The MEMS pressure sensors in respiratory monitoring are used in ventilators to monitor the patients breathing.

MEMS pressure sensors are used for eye surgery to measure and control the vacuum level used to remove fluid from the eye, which is cleaned of debris and replaced back into the eye during surgery

42

Special hospital beds for burn victims that employ inflatable mattresses use MEMS pressure sensors to regulate the pressure inside a series of individual inflatable chambers in the mattress. Sections of the mattress can be inflated as needed to reduce pain as well as improve patient healing. Physicians office and hospital blood analyzers employ MEMS pressure sensors as barometric pressure correction for the analysis of concentrations of O2, CO2, calcium, potassium, and glucose in a patient's blood.

MEMS pressure sensors are used in inhalers to monitor the patients breathing cycle and release the medication at the proper time in the breathing cycle for optimal effect.

MEMS pressure sensors are used in kidney dialysis to monitor the inlet and outlet pressures of blood and the dialysis solution and to regulate the flow rates during the procedure.

MEMS pressure sensors are used in drug infusion pumps of many types to monitor the flow rate and detect for obstructions and blockages that indicate that the drug is not being properly delivered to the patient. Another application are MEMS inertial sensors, specifically accelerometers and rate sensors which are being used as activity sensors. Perhaps the foremost application of inertial sensors in medicine is in cardiac pacemakers wherein they are used to help determine the optimum pacing rate for the patient based on their activity level.

MEMS devices are also starting to be employed in drug delivery devices, for both ambulatory and implantable applications. MEMS electrodes are also being used in neuro-signal detection and neuro-stimulation applications.

A variety of biological and chemical MEMS sensors for invasive and noninvasive uses are beginning to be marketed. Lab-on-a-chip and miniaturized biochemical analytical instruments are being marketed as well.

43

3. Communication:-High frequency circuits are benefiting considerably from the advent of RF-MEMS technology. Electrical components such as inductors and tunable capacitors can be improved significantly compared to their integrated counterparts if they are made using MEMS and Nanotechnology. With the integration of such components, the performance of communication circuits will improve, while the total circuit area, power consumption and cost will be reduced. In addition, the mechanical switch, as developed by several research groups, is a key component with huge potential in various RF and microwave circuits. The demonstrated samples of mechanical switches have quality factors much higher than anything previously available. Another successful application of RF-MEMS is in resonators as mechanical filters for communication circuits. 4. Inertial Sensing:-MEMS inertial sensors, specifically accelerometers and gyroscopes, are quickly gaining market acceptance. For example, MEMS accelerometers have displaced conventional accelerometers for crash air-bag deployment systems in automobiles. The previous technology approach used several bulky accelerometers made of discrete components mounted in the front of the car with separate electronics near the airbag and cost more than $50 per device. MEMS technology has made it possible to integrate the accelerometer and electronics onto a single silicon chip at a cost of only a few dollars. These MEMS accelerometers are much smaller, more functional, lighter, more reliable, and are produced for a fraction of the cost of the conventional macro-scale accelerometer elements. More recently, MEMS gyroscopes (i.e., rate sensors) have been developed for both automobile and consumer electronics applications. MEMS inertial sensors are now being used in every car sold as well as notable customer electronic handhelds such as Apple iPhones and the Nintendo Wii. 5. Microengines :-A three-level polysilicon micromachining process has enabled the fabrication of devices with increased degrees of complexity. The process includes three movable levels of polysilicon, each separated by a sacrificial oxide layer, plus a stationary level. Operation of the small gears at rotational speeds greater than 300,000 rpm has been demonstrated. Micro-engines can be used to drive the wheels of micro-combination locks. They can also be used in combination with a micro-transmission to drive a pop-up mirror out of a plane. This device is known as a micro-mirror.

6. Nanosatellites:-MEMS technology has been used in fabricating vaporization microchambers for vaporizing liquid micro-thrusters for nanosatellites. The chamber is part of a micro-channel with a height of 2-10 microns, made using silicon and glass substrates. The nozzle is fabricated in the silicon substrate just above a thin-film indium tin oxide heater deposited on glass.

44

Future Aspect
Each of the three basic microsystems technology processes we have seen, bulk micromachining, sacrificial surface micromachining, and LIGA, employs a different set of capital and intellectual resources. MEMS manufacturing firms must choose which specific microsystems manufacturing techniques to invest in. MEMS technology has the potential to change our daily lives as much as the computer has. However, the material needs of the MEMS field are at a preliminary stage. A thorough understanding of the properties of existing MEMS materials is just as important as the development of new MEMS materials. Future MEMS applications will be driven by processes enabling greater functionality through higher levels of electronic-mechanical integration and greater numbers of mechanical components working alone or together to enable a complex action. Future MEMS products will demand higher levels of electrical-mechanical integration and more intimate interaction with the physical world. The high up-front investment costs for largevolume commercialization of MEMS will likely limit the initial involvement to larger companies in the IC industry. Advancing from their success as sensors, MEMS products will be embedded in larger non-MEMS systems, such as printers, automobiles, and biomedical diagnostic equipment, and will enable new and improved systems.

45

Conclusion
MEMS have tremendous future in replacing components of many commercial product used today. The medical, wireless (including cellular and network technology), biotechnologies, computers, automotive and aerospace industries are only a few that will benefit greatly from MEMS. This technology promises not only to transform most major industries but to create entirely new categories of product. An almost limitless number of radical applications are possible because of potential infinitesimally small number of factor, phenomenal price, performance ratio, architecture that lends itself to mass production. All of which are advantageous that drove the success of IC. They will be indispensible factor for advantageous technology in 21st century.

46

References
Book references:a) The Science And Engineering Of Microelectronics Fabrication By:- Stephen A.Campbell. b) Semiconductor Devices By:-Kanaan Kano c) Semiconductor Devices Physics And Technology By:-S.M.Sze

Internet references: www.wikepedia.com www.mems-exchange.org www.memx.com www.ieee.com www.memscsa.com

47

Anda mungkin juga menyukai