Anda di halaman 1dari 9

Instituto Tecnolgico de Villahermosa

Actividad: Prctica N 8l

Tema: Unidad Aritmtica Lgica

Asignatura: Circuitos elctricos y electrnicos

Docente: IIE. Manuel Antonio Rodrguez Magaa

Equipo de trabajo: Prats Hernndez Luis Enrique Montejo Ocaa Idolfo Hernndez Osorio David Jara Ortiz Justino

Villahermosa, Tabasco, 6/Junio/2011

OBJETIVO
El alumno comprender el funcionamiento de una unidad aritmtica y lgica (UAL), que es un circuito combinacional que realiza las operaciones aritmticas y lgicas bsicas en el computador, como son: Operaciones aritmticas bsicas: suma, resta, multiplicacin, divisin. Operaciones lgicas bsicas: NOT, AND, OR, NAND, NOR.

NOMBRE DE LA PRCTICA
Manejo de registros con unidad aritmtica-lgica

MATERIAL UTILIZADO
74157 Multiplexor de dos canales 7447 Decodificador BCD-siete segmentos 74153 Multiplexor de cuatro canales 7483 Sumador completo de 4 bits Display de nodo comn Protoboard Cables calibre 22

INTRODUCCIN
En computacin, la unidad aritmtico lgica, tambin conocida como ALU (siglas en ingls de arithmetic logic unit), es un circuito digital que calcula operaciones aritmticas (como suma, resta, multiplicacin, etc.) y operaciones lgicas (si, y, o, no), entre dos nmeros. Muchos tipos de circuitos electrnicos necesitan realizar algn tipo de operacin aritmtica, as que incluso el circuito dentro de un reloj digital tendr una ALU minscula que se mantiene sumando 1 al tiempo actual, y se mantiene comprobando si debe activar el pitido del temporizador, etc. Por mucho, los ms complejos circuitos electrnicos son los que estn construidos dentro de los chips de microprocesadores modernos. Por lo tanto, estos procesadores tienen dentro de ellos un ALU muy complejo y potente. De hecho, un microprocesador moderno (y los mainframes) pueden tener mltiples ncleos, cada ncleo con mltiples unidades de ejecucin, cada una de ellas con mltiples ALU.

Muchos otros circuitos pueden contener en el interior una unidad aritmtico lgica: unidades de procesamiento grfico como las que estn en las GPU NVIDIA y AMD, FPU como el viejo coprocesador matemtico 80387, y procesadores digitales de seales como los que se encuentran en tarjetas de sonido Sound Blaster, lectoras de CD y los televisores de alta definicin. Todos stos tienen en su interior varias ALU potentes y complejas. Una ALU debe procesar nmeros usando el mismo formato que el resto del circuito digital. Para los procesadores modernos, este formato casi siempre es la representacin del nmero binario de complemento a dos. Las primeras computadoras usaron una amplia variedad de sistemas de numeracin, incluyendo complemento a uno, formato signo-magnitud, e incluso verdaderos sistemas decimales, con diez tubos por dgito. Las ALU para cada uno de estos sistemas numricos mostraban diferentes diseos, y esto influenci la preferencia actual por el complemento a dos, debido a que sta es la representacin ms simple, para el circuito electrnico de la ALU, para calcular adiciones y sustracciones, etc.

Un tpico smbolo esquemtico para una ALU: A y B son operandos; R es la salida; F es la entrada de la unidad de control; D es un estado de la salida.

Operaciones simples La mayora de las ALU pueden realizar las siguientes operaciones:

Operaciones aritmticas de nmeros enteros (adicin, sustraccin, y a veces multiplicacin y divisin, aunque esto es ms complejo) Operaciones lgicas de bits (AND, NOT, OR, XOR, XNOR) Operaciones de desplazamiento de bits (Desplazan o rotan una palabra en un nmero especfico de bits hacia la izquierda o la derecha, con o sin extensin de signo). Los desplazamientos pueden ser interpretados como multiplicaciones o divisiones por 2.

Entradas y salidas Las entradas a la ALU son los datos en los que se harn las operaciones (llamados operandos) y un cdigo desde la unidad de control indicando qu operacin realizar. Su salida es el resultado del cmputo de la operacin. En muchos diseos la ALU tambin toma o genera como entradas o salidas un conjunto de cdigos de condicin desde o hacia un registro de estado. Estos cdigos son usados para indicar casos como acarreo entrante o saliente, overflow, divisin por cero, etc. Unidad Aritmtica y Lgica (ALU) Su misin es realizar las operaciones con los datos que recibe, siguiendo las indicaciones dadas por la unidad de control. El nombre de unidad aritmtica y lgica se debe a que puede realizar operaciones tanto aritmticas como lgicas con los datos transferidos por la unidad de control. La unidad de control maneja las instrucciones y la aritmtica y lgica procesa los datos. Para que la unidad de control sepa si la informacin que recibe es una instruccin o dato, es obligatorio que la primera palabra que reciba sea una instruccin, indicando la naturaleza del resto de la informacin a tratar. Para que la unidad aritmtica y lgica sea capaz de realizar una operacin aritmtica, se le deben proporcionar, de alguna manera, los siguientes datos: 1. El cdigo que indique la operacin a efectuar. 2. La direccin de la celda donde est almacenado el primer sumando. 3. La direccin del segundo sumando implicado en la operacin. 4. La direccin de la celda de memoria donde se almacenar el resultado.

METODOLOGA
Los valores de entrada se llevan directamente a las puertas, y mediante un multiplexor elegimos la salida de una u otra puerta, es decir, elegimos el resultado de una u otra operacin. En esta figura aparece la construccin de esta unidad de operacin lgica. Resultado

Implementacin de las operaciones lgicas en una ALU de 1 bit. El siguiente paso a dar en la construccin de nuestra ALU elemental de un bit ser incorporar las operaciones aritmticas. Para ello utilizamos un bloque sumador, este bloque sumador ya ha sido desarrollado en el tema de circuitos combinacionales.

Esquema del bloque sumador elemental de 1 bit En esto tomamos en cuenta que el bloque sumador tena tres entradas, dos entradas para los bits a sumar y la tercera entrada para el carry de la suma anterior o carry previo, que aqu llamaremos carry in. Las salidas del bloque sumador sern el bit de resultado y el bit de carry de salida, que aqu llamaremos carry out, y que a su vez ser carry in para el siguiente sumador. El bloque por el que representaremos el sumador. Si ahora incorporamos el bloque sumador a nuestra ALU, que slo realizaba operaciones lgicas, tendremos una primera ALU elemental capaz de realizar operaciones lgicas y aritmticas. En esta primera ALU elemental, existen cuatro posibles operaciones, AND, OR, XOR y Suma, a partir de los mismos operandos de entrada. La eleccin de una u otra se realiza a travs del multiplexor, la combinacin binaria que aparece en las lneas de seleccin del multiplexor indicarn cul de las entradas (qu operacin) aparece a la salida de nuestra ALU elemental. La combinacin binaria de entrada viene dada por la seal Operacin (compuesta por dos bits) que se corresponde con la operacin que queremos realizar.

Esq

Esquema de una ALU elemental con operaciones lgica y Sumador elemental

Queda ahora por aadir a esta ALU inicial la capacidad de restar dos bits. Realizar la operacin de restar es igual que sumar la versin negativa de un operando, o lo que es lo mismo: (A - B) = A + (-B). Es de esta forma como los sumadores realizan la resta. Cuando se explic la codificacin de nmeros enteros se vieron varios formatos; uno de ellos es el denominado complemento a dos. En complemento a dos se vio que era posible representar nmeros positivos y negativos. Los nmeros positivos se representaban de la misma forma que en binario natural, y los nmeros negativos se obtenan a partir de la codificacin binaria del nmero considerado como positivo y luego complementndolo a dos, o lo que es lo mismo, invertir los bits de la codificacin binaria del nmero (los ceros pasan a unos y los unos a ceros, esta operacin a veces se denomina complemento a uno), y al resultado de la operacin anterior sumarle 1. Para construir la operacin aritmtica de resta, si en nuestro esquema de ALU elemental aadimos un nuevo multiplexor que nos permita elegir entre el operando B o su negado, ya tendremos la mitad del camino recorrido (obtencin del inverso de la combinacin binaria del nmero a restar). La seal Resta controlar qu entrada del multiplexor se tomar, la normal o la invertida. Para obtener el nmero negativo an hemos de sumar 1 al nmero invertido. Cada sumador elemental hemos visto que tiene tres entradas, los operandos A y B y la entrada carry in o carry de la suma anterior. Sin embargo, el primer sumador elemental, o el que suma los bits menos significativos, no necesitara la seal carry in, puesto que no existe ninguna suma anterior. Tendremos: A + B + 1 = A + (B +1) = A + (-B) = A B

Esquema de una ALU elemental capaz de realizar la resta de operandos Por tanto, con este esquema seremos capaces de realizar la resta de dos nmeros. Observar que no se ha aumentado excesivamente la complejidad de la ALU elemental para realizar las operaciones de suma y resta, y es por este motivo que se utiliza el complemento a dos como estndar para la aritmtica entera en los computadores. Con esto finalizamos la construccin de la ALU elemental, que servir de base para la construccin de una ALU completa.

TABLA DE FUNCIONES DEL C.I. DM74LS181N

CONCLUSIONES
Con esta prctica, podemos concluir que esta unidad es la encargada de realizar las operaciones elementales de tipo aritmtico (generalmente sumas o restas) y de tipo lgico (generalmente comparaciones) en los computadores. El uso de los multiplexores en el diseo de circuitos combinacionales, es una herramienta eficiente para simplificar la aplicacin de los mismos. El uso de los multiplexores, adems de disminuir el tamao de los circuitos, tambin disminuye considerablemente su costo y el procedimiento de mantenimiento. Tambin esto se relaciona con el componente principal de los computadores, que es la CPU o unidad central de proceso UCP es el verdadero cerebro de la computadora; su misin consiste en coordinar y controlar o realizar todas Las operaciones del sistema. Se compone de elementos cuya naturaleza es exclusivamente electrnica (circuitos). La unidad central de proceso UCP es el verdadero cerebro de la computadora; su misin consiste en coordinar y controlar o realizar todas Las operaciones del sistema. Se compone de elementos cuya naturaleza es exclusivamente electrnica (circuitos). Sus partes principales son Las siguientes:

El Procesador (P). Que a su vez se compone de: o La unidad de control (UC). o La unidad aritmtico lgica (UAL). La Memoria Central (MC).

La unidad central de proceso tambin incorpora un cierto nmero de registros rpidos (pequeas unidades de memoria) de propsito especial, que son utilizados internamente por la misma.

Anda mungkin juga menyukai