Anda di halaman 1dari 45

ECE 477 Introduction to Microelectronic Fabrication

Laboratory Manual

Department of Electrical and Computer Engineering Michigan State University Fall 2009

Table of Contents 1. Introduction. .............................................................................................................................................................. 1 2. Equipment and Time Requirements. ......................................................................................................................... 1 3. Safety Considerations. ............................................................................................................................................... 2 3.1 Lab Safety. ........................................................................................................................................................... 2 3.2 Clothing ............................................................................................................................................................... 3 3.3 General Handling of Laboratory Chemicals. ....................................................................................................... 3 3.4 Handling of Solvents. .......................................................................................................................................... 4 3.5 Handling of Acids and Bases. .............................................................................................................................. 4 3.6 Emergency Eye Wash Information. ..................................................................................................................... 5 3.7 Furnace Safety. .................................................................................................................................................... 5 4. Laboratory Reports and Records. .............................................................................................................................. 6 5. Lab Week 1: Wafer Documentation. ......................................................................................................................... 6 5.1 Overview ............................................................................................................................................................. 6 5.2 Wafer Handling. .................................................................................................................................................. 7 5.3 The 4-point probe ................................................................................................................................................ 7 5.4 Measurements ...................................................................................................................................................... 8 5.5 Analysis ............................................................................................................................................................... 9 6. Lab Week 2: Field Oxidation [ 100 min]............................................................................................................... 11 6.1 Overview ........................................................................................................................................................... 11 6.2 Process Steps ..................................................................................................................................................... 11 6.3 Analysis ............................................................................................................................................................. 12 7. Lab Week 3: Lithography with mask 1 [ 100 min]................................................................................................ 13 7.1 Overview ........................................................................................................................................................... 13 7.2 Measurement ..................................................................................................................................................... 13 7.3 Process Steps ..................................................................................................................................................... 13 7.4 Analysis ............................................................................................................................................................. 15 8. Lab Week 4: Boron diffusion (pre-deposition): [115 min]...................................................................................... 17 8.1 Overview ........................................................................................................................................................... 17 8.2 Process Steps ..................................................................................................................................................... 17 8.3 Analysis ............................................................................................................................................................. 18 9. Lab Week 5: Diffusion (drive-in): [85 min] ............................................................................................................ 19 9.1 Overview ........................................................................................................................................................... 19 9.2 Measurement ..................................................................................................................................................... 19 9.3 Process Steps ..................................................................................................................................................... 19 9.4 Analysis ............................................................................................................................................................. 20 10. Lab Week 6: Lithography (mask 2): [65 min] ....................................................................................................... 21 10.1 Overview ......................................................................................................................................................... 21 10.2 Process Steps ................................................................................................................................................... 21 11. Lab Week 7: Gate oxidation: [110 min] ................................................................................................................ 23 11.1 Overview ......................................................................................................................................................... 23 11.2 Process Steps ................................................................................................................................................... 23 11.3 Analysis ........................................................................................................................................................... 24 12. Lab Week 8: Lithography using mask 3 for contact cuts: [115 min], metallization. ............................................. 25 12.1 Overview ......................................................................................................................................................... 25 12.2 Measurement ................................................................................................................................................... 25 12.3 Process Steps ................................................................................................................................................... 26 12.4 Analysis ........................................................................................................................................................... 28 13. Lab Week 9: Lithography using mask 4 for patterning metal [120 min]. .............................................................. 29 13.1 Overview ......................................................................................................................................................... 29 13.2 Process Steps ................................................................................................................................................... 29 13.3 Analysis ........................................................................................................................................................... 32 14. Device Testing ....................................................................................................................................................... 33 14.1 Curve Tracer Introduction ............................................................................................................................... 33

Prepared by Don Reinhard and Dean Aslam; F08 14.2 Using the curve-tracer to measure the current-voltage characteristics of a resistor. ....................................... 34 14.3 Using the Curve-Tracer to measure the current-voltage characteristics of a diode. ........................................ 35 14.4 Using the Curve-Tracer to measure the current-voltage characteristics of a MOSFET. .................................. 38 14.5 Capacitance vs. voltage measurements for MOS capacitors ........................................................................... 39 16. Solution Mixing Guidelines ................................................................................................................................... 40 References: .................................................................................................................................................................. 42 Notes:........................................................................................................................................................................... 42 List of Figures Figure 1 - The Four-Point Probe Method ...................................................................................................................... 7 Figure 2 - Resistivity vs. Doping [See reference 4]. Note that the vertical scale is logarithmc. .................................. 10 Figure 3 - Photoresist Data from Shipley Corp. .......................................................................................................... 15 Figure 4 - Wafer Pattern for Mask 1 ............................................................................................................................ 16 Figure 5 - Detail of Mask 2 Result on Wafer............................................................................................................... 21 Figure 6 - Detail of Features on the Glass of Mask 3 .................................................................................................. 26 Figure 7 - Detail of the Features on the Glass Mask 4 ................................................................................................. 30 Figure 8 - A 'Chip' on the Processed Wafer ................................................................................................................. 30 Figure 9 - Identification of Devices ............................................................................................................................. 32 Figure 10 - Front View of the Curve Tracer ................................................................................................................ 33 Figure 11 - Microprobe Contacts to a Resistor Fabricated in the MSU Student Lab .................................................. 34 Figure 12 - Curve-Tracer Display for a Resistor ......................................................................................................... 35 Figure 13 - Microprobe Contacts to a Diode when a Surface n+ Contact is Available ............................................... 36 Figure 14 - Forward I-V Characteristics for a Student-Fabricated Diode (top) and Reverse Characteristics (bottom). ............................................................................................................................................................................. 37 Figure 15 - Family of Curves for a Student-Fabricated P-Channel MOSFET............................................................. 38 Figure 16 - Capacitance vs. Voltage for a Student-Fabricated MOS Structure ........................................................... 39

ii

Prepared by Don Reinhard and Dean Aslam; F08

1. Introduction. In this Laboratory Manual, experiments are described which allow the student to learn several of the concepts basic to microeelctronic fabrication in a learning-by- doing environment. Topics addressed include doping principles, diffusion, oxidation, metallization, photolithographic pattern definition, etching, the MOS interface, threshold voltage considerations, MOSFET I-V characteristics, MOS capacitor diagnostics, diffused resistors, and diffused junction diode I-V characteristics. In addition, the student is provided with a unique opportunity to compare theoretical predictions with actual device performance since he or she has carried out the fabrication and knows exactly what went into the making of the chip. During the testing and analysis portion of the experiment, properties of the MOS system, diffused layers, p-n junctions, and field-effect transistors are studied. Therefore, in spite of the simplicity of the fabrication steps, hands on experience with several key issues is obtained. The experiments have formed the laboratory portion of a combination lecture and laboratory course offered at Michigan State University for the past several years, primarily to seniors in electrical engineering. Lego-based demos will be used during the lectures to demonstrate the device fabrication and operation concepts. Some demos of complete microsystems will also be used. 2. Equipment and Time Requirements. One academic semester provides sufficient time to finish the oxidation experiment and the diffused devices experiment with the laboratory section meeting once a week for three hours a week. Experience shows that the schedule below is a suitable minimum progress schedule. Lab Week 1 Lab Week 2 Lab Week 3 Lab Week4 Lab Week 5 Lab Week 6 Lab Week 7 Lab Week 8 Lab Week 9 Lab Weeks 10 - 11 Wafer characterization. Wafer cleaning and oxidation. Mask 1: photoresist exposure and development for boron diffusions. Oxide etch and boron pre-deposition. Boron drive-in. Mask 2: photoresist exposure and development for gate oxidation; oxide etch. Gate oxidation. Mask 3: photoresist exposure and development for contact cuts; oxide Etch. (Aluminum evaporation done by TA.) Mask 4: photoresist exposure and development for metallization; etch metal. Testing and analysis.

The laboratory is equipped with four furnaces for diffusions and oxidations, a photoresist spinner, three photoresist ovens, a mask aligner, an aluminum evaporator, a deionized water supply, a four point resistivity probe, and wafer probe stations. An incident light microscope provides magnification levels from 65X to 400X. Also instrumentation for measurement of capacitance vs. voltage and current vs. voltage are used with the wafer probe stations. As is outlined in the following sections, each of these equipment items plays an important role in the experiments.

Prepared by Don Reinhard and Dean Aslam; F08

All the above equipment, except for the diffusion furnaces and the evaporator, are housed in a room under positive pressure with class 100 filtered air. However, only a few basic precautions are taken to maintain adequate clean room conditions for a student laboratory. Students wear lab coats while working in the area and if shoes are dirty, disposable shoe covers are available. Full cleanroom apparrel is not used, so class 100 conditions do not exist in the working area. However, the simple Fabrication process used in these experiments, along with fairly large dimensions (the smallest critical dimension is approximately 10 m), combine to provide a fairly robust process. The diffusion furnaces open into a laminar flow hood with class 100 air. This hood also has a sink and most of the wet chemistry is performed in this hood. For sake of safety, diffusions are carried out with commercial solid planar sources in which the active dopant component is dispersed in an inert, refractory matrix. Toxic gases are not used in these exercises. Students usually work in groups of two or three and there are three groups in each section. Each group has its own wafer and is responsible for carrying the wafer through all the fabrication steps. However, at some points in the fabrication sequence a batch process involves all the wafers in a section. For example each diffusion furnace is dedicated to a given purpose. One is used only for oxidations, one is used only for boron pre-depositions, and one is used only for boron drive- ins. The fourth is for aluminum annealing and also for optional n-type diffusions. Thus, although each group is individually responsible for the handling, cleaning, photolithography, mask alignment, etching, and testing of their wafer, it is not practical for each group to separately do a boron drive-in, for example. Therefore, the sections activities are planned such that all the wafers go into the drive-in at the same time. Usually all furnace operations are done in a batch process, as well as the metallization. 3. Safety Considerations. 3.1 Lab Safety. These laboratory exercises contain hazards not normally encountered in an electrical engineering laboratory. Principally, the hazards involve the use of chemicals including strong acids, solvents, and bases. With proper precautions, these chemicals can be used quite safely. But without due respect for the chemicals, serious problems could result. It is important that the student reads and is aware of the characteristics of each chemical used in the laboratory. Also, in order to be prepared for the unlikely event of an accident, he or she should be aware of a variety of emergency information such as that listed below. Know the emergency phone number for the institution, and the location of a phone which is always available when the laboratory is in session. Know the location of the Material Safety Data Sheets (MSDSs) for chemicals used in the laboratory. An emergency shower, an eye wash fountain, a fire extinguisher, respirator mask, and a first aid kit should be available near the work area. Know their location. In any accident, get assistance. If acid or base splashes into the eye, flush the eye profusely at the eye wash station for 15 minutes. The first few seconds are critical. Your instructor or lab partner should make sure that your eyes are open during the

Prepared by Don Reinhard and Dean Aslam; F08

rinse and should hold them open if necessary. Section 3.6 contains more specific information about eyewash procedures. In case of a chemical spill, alert everyone in the specific spill area and notify the TA or ECE technicians. If acid or base spills, or splashes, onto the skin, rinse immediately with cold water for 15 minutes. Notify the TA or ECE technicians. Wear proper clothing. If an acid or base spills on clothing, remove the clothing.

3.2 Clothing Shoes should be worn rather than sandals. Long pants should be worn instead of shorts or dresses. Minimum personal protective equipment includes a lab coat, disposable gloves, and safety glasses. Do not wear contact lenses. Addiionally use a faceshield when working with wet chemicals. Face shields offer protection for the face but not the eyes. Additinally use rubber gloves when working with wet chemicals. Remove gloves before leaving the laboratory and always wash hands after removing the gloves.

3.3 General Handling of Laboratory Chemicals. Several basic guidelines for the general use of chemicals such as used in these exercises are listed below. Acids, bases, and strong solvents should always be used in a hood. Photoresist related chemicals should be used in well ventilated areas. All chemicals should be disposed of according to the MSU ORCBS guidelines. Chemicals such as solvents, acids, and bases require special pick-up. Do not pour them down the drain. Generally, it should not be necessary for a student to mix any of the chemicals. This will be done by staff before the laboratory starts. Likewise, the disposal of chemicals is normally the responsibility of the staff. In special cases where the student is given and accepts the responsibility of preparing and mixing chemical solutions, see the detailed instructions on solution mixing guidelines. When in doubt of the proper procedure, never guess. Always ask your instructor.

Prepared by Don Reinhard and Dean Aslam; F08

3.4 Handling of Solvents. Solvents used in these exercises primarily may include methanol (methyl alcohol), acetone, and 2-propanol (isopropyl alcohol). Some particular comments pertaining to solvents are listed below. Rubber gloves are important when using solvents, not necessarily because they are hazardous to the skin, but because they can be absorbed through the skin. Solvents are volatile and the fumes they give off are highly flammable. If a solvent in a beaker should happen to ignite, a cover may be placed over the top to extinguish the flames. Use solvents in a well ventilated area, preferably under a hood. Avoid breathing the vapors as much as possible. Never mix an acid and a solvent. Never heat an acid and solvent on the same hot plate.

Some characteristics of the solvents used in these exercises are listed below. For additional information, see reference 1, Dangerous Properties of Industrial Materials by Sax and the MSDS sheets. Acetone (CH3COCH3) is a mild skin irritant and can cause headaches due to prolonged inhalation, but its primary hazard is that it is very flammable when exposed to heat or flames. Also it can react violently with certain acids and bases. Isopropyl Alcohol (CH3CHOHCH3), or 2-propanol, is in most medicine cabinets as the main ingredient of rubbing alcohol. In spite of its familiarity, it should be noted that it can cause eye irritation and eye damage. It also is flammable and can react violently with acids. Methyl Alcohol (CH3OH), or methanol, is flammable and reacts violently with several acids. On very severe exposures, methanol shows toxicity for the nervous system, especially for the optic nerves. 3.5 Handling of Acids and Bases. Strong acids and bases may represent the most serious hazard in the laboratory. As a general rule, always wear rubber gloves (without holes), lab coats or aprons, and a face shield along with eye protection when working with any acid or base. Again, always work under the hood. Some particular high-lights of the acids and bases that may be used in these exercises are listed below. Again, for more information, see Dangerous Properties of Industrial Materials, by Sax and the MSDS sheets. Sulfuric Acid (H2SO4) is extremely corrosive and toxic to body tissues. It will rapidly attack the skin and cause severe burns and blistering. Nitric Acid (HNO3) can also destroy tissue and cause burns, but is not quite as aggressive as sulfuric acid. Nitric acid leaves a characteristic yellow stain on the skin. Hydrofluoric Acid (HF) produces severe skin burns which are slow in healing. It is extremely corrosive to tissues and is all the more dangerous in that burns may not

Prepared by Don Reinhard and Dean Aslam; F08

be noticed until some time after exposure. Therefore, HF should be handled with great caution. Rinse your hands carefully after using HF even if you did use rubber gloves. Avoid the fumes. Hydrochloric Acid (HCl) is strongly corrosive and causes burns on contact with the skin. Phosphoric Acid (H3PO4) should not be heated to the boiling point since the POX fumes are toxic. It will cause burns if in contact with the skin. Ammonium Hydroxide (NH4OH) is a powerful base capable of causing severe burns. It should always be used with adequate ventilation. Acetic Acid (CH3COOH) is highly corrosive and causes severe burns on contact with the skin. Inhalation of concentrated vapors may cause serious damage to the lining of the nose, throat, and lungs. Neither odor nor degree of irritation are adequate to indicate vapor concentration. Use only with adequate ventilation. Generally the above acids and bases will be encountered in solutions containing more than one chemical. Some solutions contain hydrogen peroxide, described below. Hydrogen Peroxide (H2O2) is also found in many household medicine cabinets in dilute solution as a topical antiseptic. However, it is used in concentrated form in these exercises. Solutions of H2O2 of 35 weight% and over, such as are used in this laboratory, will easily cause blistering of the skin. In solution with acids, hydrogen peroxide can be highly volatile. Also, it is important to keep containers of this material covered since the water from an H2O2 solution can evaporate, concentrating the material, and increasing the fire hazard of the remainder. 3.6 Emergency Eye Wash Information. In severe contamination cases, the eye may involuntarily clamp shut, and the individual may be in a state of shock. Therefore the person may need assistance from the instructor and fellow students in getting to and using the eye wash station. See also the instructions on the eye wash station. When the eyes are in the streams of solution, the thumb and forefinger of each hand should be used to open the eyelids. Hold the eyes open until thoroughly flushed - 15 minutes is recommended. Simultaneously, emergency medical personnel should be summoned. Also notify the EC Shop, the Laboratory Supervisor, or the ECE Office. The emergency eye wash unit is intended for the emergency first aid of victims of fluid chemical or small soft particle material eye contamination until medical treatment is obtained. In all cases the victim should seek medical attention immediately after flushing. When transporting the victim to professional medical care, the eyes should continue to be irrigated with a buffered, physiologically correct solution. 3.7 Furnace Safety. Furnace temperatures may be as high as 1100 C in the flat zone region inside the tube. Observe the following procedures when working with a furnace:

Prepared by Don Reinhard and Dean Aslam; F08

Although the sides of the furnace are generally cool to the touch, the quartz ends may be quite hot. When removing an end cap, use a thick cloth, or thick glove, for protection. When a push rod is removed from the furnace, the end will be too hot to touch for about five minutes. Glass components on the furnace set-ups are actually fused silica, which means they are expensive. Handle them carefully. Do not apply a torque; the push rods are especially fragile. The quartz may be slippery so be certain of your grip. There is a continuous flow of gases through the furnaces. Gas cylinders may be under pressures of over 2000 psi, so must be properly secured and handled. Generally the student should not adjust flowmeter or regulator controls unless supervised by the instructor. Scavenge the output of the furnace tubes to an external exhaust.

3.7 Electrical Safety. Use caution regarding electrical hazards as with any electrical engineering laboratory. Some particular notes are as follows. Some pieces of test equipment, such as curve tracers, are capable of producing over hundred volts and fatal shocks. Keep the voltage at zero until the leads are safely connected to the device or circuit being tested. Equipment such as furnaces and mask aligners may have high voltage circuitry behind protective panels which is not intended to be worked with during the laboratory.

4. Laboratory Reports and Records. Each group is individually responsible for a laboratory notebook. The laboratory notebook should contain a daily record of laboratory work, observations, measurements taken, and responses to analysis questions. All data, records of experiments, and observations during the lab should go directly into the notebook in ink, with the instructor initialing the pages at the end of the period. See handout #1 for grading details. 5. Lab Week 1: Wafer Documentation. 5.1 Overview Safety First: 1) Wear the minimum personal protective equipment, i.e. a lab coat, disposable gloves, and safety glasses. Goal: Determine the carrier concentration of the p-type wafer that you will be using this semeseter. Flow: -> make 4-point probe measurements -> determine the sheet resistance -> find the corresponding donor concentration.

Prepared by Don Reinhard and Dean Aslam; F08

5.2 Wafer Handling. The starting point for this experiment is a uniformly doped, polished, n-type silicon wafer whose background doping is reasonable for basic MOS and junction devices; an approximate range is 2 x 1014 to 5 x 1015 cm-3. It will be necessary to know the actual carrier concentration in later analysis of results. In the steps that follow, you will be handling the wafer with tweezers and carrying it from one work area to another. Clean the tweezers at the beginning of the lab by rinsing in acetone, methanol, and deionized water in that order. Dry the tweezers with N2 gas. When carrying the wafer, always hold it over a piece of filter paper, or a KimwipeTM, in case the wafer slips from the tweezers. During these experiments, never touch the wafer with bare hands and never touch anything that will come in contact with the wafer, such as the quartz furnace components (push rods, boats, etc.) with your bare hands. When working with dry wafers or quartz furnace components, use clean gloves. When working with wet processes, use rubber gloves. Small amounts of impurities, particularly sodium ions from the skin or from impure water, will wreck an MOS process. Always make sure beakers are clean. One improperly cleaned beaker, or inadvertent contact with tap water can send MOSFET threshold voltages well out of useful ranges of values. 5.3 The 4-point probe A common method for the measurement of sheet resistance is the four point probe method shown in Figure 1 for the case of both a circular substrate (wafer) and a rectangular substrate. Here four equally spaced point probes are brought into contact with the wafer surface and a known dc current is passed through the two outer probes. The inner two probes are connected to a high impedance voltmeter. This 4 point arrangement offers the advantage that contact resistance effects are largely eliminated since the voltage measurement probes draw negligible current. The relationship between the measured current and voltage and the material resistivity is a calculable function of the probe spacing and the substrate geometry. Figure 1 - The Four-Point Probe Method

If the substrate dimensions are much larger than the probe spacing, s, then the relationship between V, I, and the sheet resisance RS is RS = (/ln 2)(V/I) = 4.53(V/I). For smaller dimension samples, a different constant of proportionality must be used as listed in Table 1. Additional information is in reference 2 (Smits). Alternatively, the sheet resistance may be determined by incorporating a 4 probe test pattern into the wafer layout as a test site. TABLE 1 Constant of proportionality between RS and V/I for a rectangular sample of dimensions a and d, and for a circular sample of diameter d (see Figure 1).
7

Prepared by Don Reinhard and Dean Aslam; F08

d/s

------------RECTANGLES--------------------a/d = 1 a/d = 2 a/d = 3 0.9988 1.2467 1.4893 1.7238 1.9475 2.3541 2.7005 3.2248 3.5750 4.0362 4.2357 4.3947 4.4553 4.5129 4.5324 a/d = 4 0.9994 1.2248 1.4893 1.7238 1.9475 2.3541 2.7005 3.2248 3.5750 4.0362 4.2357 4.3947 4.4553 4.5129 4.5324

CIRCLES--

1.0 1.25 1.5 1.75 2.0 2.5 3.0 4.0 5.0 7.5 10.0 15.0 20.0 40.0

2.4575 3.1137 3.5098 4.0095 4.2209 4.3882 4.4516 4.5120 4.5324

1.4788 1.7196 1.9454 2.3532 2.7000 3.2246 3.5749 4.0361 4.2357 4.3947 4.4553 4.5129 4.5324

2.2662 2.9289 3.3625 3.9273 4.1716 4.3646 4.4364 4.5076 4.5324

5.4 Measurements When carrying out the four point probe measurement, note that the probe tips are rather fragile. Once the probes are in contact, the wafer should not be moved. Place the probe over the middle of the wafer. Lower the probes until contact is made and record the voltage and current readings. The readings may drift with time, but the ratio of V/I should be relatively constant. Do this 5 times, shifting the wafer slightly every time you lift the probe for another measurement. Record the experimental values, and any observations of interest in your lab book.

Prepared by Don Reinhard and Dean Aslam; F08

5.5 Analysis Calculate the average of your (V/I) values, the maximum value, the minimum value and the standard deviation. Record the probe spacing, s. Record the wafer diameter, d. Determine the 4-point probe correction factor. Calcuate the wafers sheet resistance and standard deviation. Record the wafer thickness. Calculate the silicon resistivity based on average values and max/min values. Uses the graph of resistivity vs impuirity concentration in Figure 2 to determine the net donor conctration. Estimate the uncertainly associated with this value based on max/min considerations.

Prepared by Don Reinhard and Dean Aslam; F08

Figure 2 - Resistivity vs. Doping [See reference 4]. Note that the vertical scale is logarithmc.

10

Prepared by Don Reinhard and Dean Aslam; F08

6. Lab Week 2: Field Oxidation [ 100 min] 6.1 Overview Safety First: 1) See section 3 for details on safety. You will work with hazardous chemicals which can be harmful if you are not careful. 2) Know the location of a phone, eye wash fountain, fire extinguisher, respirator, and a first aid kit near your work area in the lab. 3) Remember to use face shields and rubber gloves with chemicals. Goal: Grow field oxide which will act as a masking layer for p-type diffusion. Process Flow: RCA clean, oxidize 6.2 Process Steps 1) Place your n-Si wafer in a carrier basket. Using the basket, immerse the wafer in a beaker containing acetone. Use mild agitation for 20 seconds. Acetone and methanol are solvents that are volatile, flammable, hazardous to skin and can be absorbed through skin. All chemicals will be prepared and disposed off by the TA. All beakers must be labeled. 2) Remove the wafer and basket from the acetone beaker and immerse them in a beaker containing methanol. Use mild agitation for 20 seconds. This removes the acetone residue. 3) Remove the wafer and basket from the methanol beaker and immerse them in a beaker containing DI water. Use mild agitation for 20 seconds. 4) Take the wafer out of the basket and place it in a wafer holder. 5) RCA clean (see reference 3). The RCA clean is a 2-step cleaning procedure (degrease and demetal etch solutions) which is usually performed before any high temperature (800 1100 C) process step: a. Rinse the wafer in running DI water for 3 min, dry the wafer with N2 and transfer it to a basket labeled degrease etch. b. Immerse wafer in freshly prepared degrease etch for 10 min at 50 C (10 %). Degrease etch, which removes organic impurities, consists of 75 ml H2O:15 ml H2O2 (30% solution):15 ml NH3OH. Degrease or demetal etch solution must stay inside the hood. If it spills on clothes, remove clothes. Never mix an acid and a solvent or heat them on the same hot plate. See section 3 for details. c. Remove the wafer and basket from degrease etch beaker and immerse them in beaker containing DI water for 30 seconds. d. Remove the wafer and basket from the DI water beaker and rinse them in running DI water for 3 minutes.

11

Prepared by Don Reinhard and Dean Aslam; F08

e. Immerse the wafer and basket in freshly prepared demetal etch for 10 min at 50 C (10 %). Demetal etch, which removes metalic impurities, consists of 75 ml H2O:15 ml H2O2 (30% solution):15 ml HCl. See section 3 for safety details. f. Remove the wafer and basket from demetal etch beaker and immerse them in beaker containing DI water for 30 seconds. g. Remove the wafer and basket from DI water beaker and rinse them in running DI water for 3 min. 6) Take the wafer out of the basket and place it in a wafer holder. Dry the wafer with N2. The wafer should be spotless with no stains or blemishes. 7) Place the wafer in the center of quartz boat of oxidation furnace. The furnace temperature and oxygen flow rate are set at 1100 C and 400 sccm, respectively, at least an hour before the oxidation experiment (done by the TA). 8) Slide the boat into the furnace slowly, at about 5 cm per 10 seconds, (approximately 3 minutes total) to bring it to the center of the furnace. 9) Use the following oxidation sequence: a) dry oxidation for 5 min, b) wet oxidation (when O2 flows through DI bubbler) for 15 min, (the water temperature should be between 95 and 98 C and the oxygen flow rate should be such as to cause bubbling in the water, but not violent splashing. A typical flow rate rage is 200 to 300 sccm.) and c) dry oxidation for 10 min. 10) Switch the flow to N2 and set it to 400 sccm. Anneal the wafers at 1100 C for 15 min. 11) Pull the boat out of the center of the furnace taking 3 min and leave the boat near the end of the furnace for 3 min to cool down. Set the furnace to idle condition (750 C, 30 sccm). Remove the wafer from the boat and store it for the next experiment. 6.3 Analysis Use the methodology associated with Equation 3.9 of Jaegers chapter 3 (course text) to calculate the expected oxide thickness after steps: 9a; 9b; 9c.

12

Prepared by Don Reinhard and Dean Aslam; F08

7. Lab Week 3: Lithography with mask 1 [ 170 min] 7.1 Overview Safety First: 1) See section 3 for details on safety. 2) Remember proper lat attire. Goal: Measure oxide thickness, etch a pattern (holes) in the field oxide. Process Flow: -> measure oxide thickness -> spin PR -> expose and develop to generate pattern -> etch oxide -> remove PR 7.2 Measurement 1) Measure the oxide thickness using the ellipsometer: a) Turn on the ellipsometer power (turn the key to clockwise). b) Place the wafer and set polarizer drum to 85 and analyzer to 45 degree. c) Adjust the gain control until meter reads approximately 140 to 200. d) Rotate the analyzer drum (staying in the red region) to yield the lowest reading. If meter reading is over 100 use gain control to go below 100. Rotate polarizer drum, (staying in the red region) to get an even lower reading. Repeat the process, going back and forth between the analyzer rotation and polarizer rotation, until a minum is reached. Read the analyzer drum reading (this is called the A1 value). Read the polarizer drum reading (this is called the P1 value). e) A program to utilize these values is available on the computer next to the ellipsometer. The program is started via a desktop icon. Utilize the F5 key and enter A1 and P1 values. The program will then provide approximately analyzer drum and polarizer drum values for the second minimum, referred to as A2 and P2 values respectively. Set the drum readings to these values and iterate back and forth between the analyzer drum and the polarizer drum to get a minimum again. These are the actually A2 and P2 values. f) Enter the A2 and P2 values in the computer. The software will provide you with a oxide thickness. You can also request the program to provide all possible oxide thicknesses using a list option at the bottom of the screen. g) If there is ambiguity ad to the actual oxide thickness, one may repeat with a different angle and determine the most likely thickness. 7.3 Process Steps 1) 2) 3) 4) 5) 6) Put the wafer in carrier and immerse the wafer in acetone for 20 s. Immerse the wafer in methanol for 20 s. Immerse the wafer in DI for 20 s. Rinse the wafer in DI for 3 min. Blow dry the wafer carefully with N2. Bake the wafer at 65 C for 15 min (this step will make sure that photoresist adhesion to wafer is good). 7) Spin positive photoresist (PR) on the wafer: (Exposed areas of positive photoresist will be
10

13

Prepared by Don Reinhard and Dean Aslam; F08

etched away by the developer solution.) a) Using a dropper, cover the entire wafer with positive PR. b) Spin the wafer for 30 s at 3000 rpm. 8) Bake the wafer for 20 min at 65 C. 9) Now you will use the mask aligner and mask 1 to generate a pattern in PR: a) Turn on the vacuum pump providing vacuum for the mask aligner. Direct the vacuum to aligner. b) Turn on the low and high pressure N2 gas. c) Turn on the two toggle valves on the manometer box. d) Turn on the power switch on the UV lamp controller. Wait for ready display and press start button. e) Turn on the mask aligner, video monitor, CCD camera, and microscope. f) Place the mask on the holder (Pattern face up on the table so that the mask pattern will be adjacent to the wafer when the holder is placed in the stage). g) Turn on the vacuum switch (toggle switch is down for vacuum on). h) Place the mask holder in the stage and turn on maskholder button. i) In the auto mode push start button, this will move the lamp house to left. j) Place the wafer on the wafer stage and press the foot switch. k) Contact light will light up / if not press separate button. l) Press exposure button. A typical exposure time is 7 seconds for Shipley 1830 resist for a lamp power of approximately 275 watts. m) Remove the wafer and put it in a wafer holder. [This step will be completed by TA]: Turn off the mask holder button and remove mask from stage. Turn off the mask aligner, monitor, UV lamp controller. Wait for 15 to 30 min. And turn down two manometer toggle valves. Turn off the vacuum pump and close the N2 gas tanks. 10) The exposed areas of the positive PR can now be dissolved in developer to generate a pattern in PR: a) Immerse the wafer and basket in the developer agitating continuously for 45 - 50 seconds. b) Immerse the wafer in a DI beaker immediately. Leave it in the beaker for about 15 seconds, then remove the wafer and rinse it in running DI for about 1 min. c) Blow dry with N2. If you inspect the wafer with the microscope, use the yellow filter. d) Postbake for 15 min at 135 C. 11) Etch window: Using PR as a mask, you will now use the following sequence to etch windows in the field oxide (p-diffusion will take place in n-Si through these windows in the next experiment): a) Prepare 3 beakers; Buffered Oxide Etch, DI-1 and DI-2. Buffered etch is a mixture of 1 HF (50 %):7 NH4F (40%). b) Immerse the wafer and basket into Buffered Etch (Etch rate is approximately 100 nm per min. Estimate the etch time using the oxide thickness). c) Immerse the wafer into DI-2 for SiO2 etching test: Observe the back surface of the wafer. If it is wet (i.e. covered with a continuous film of water) thenthe SiO2 is not completely etched. If a few isolated drops are found on the backside, then the SiO2 is completely etched away. d) If SiO2 is still remains, immerse the wafer into Buffered Etch again. e) When SiO2 is completely etched away, rinse the wafer in DI for 3 min.

14

Prepared by Don Reinhard and Dean Aslam; F08

f) Blow dry with N2. 12) Inspect the wafer under microscope: etched away areas should appear white and spot-free. 13) Remove PR: Now that windows have been etched in SiO2, PR has served its purpose and needs to be removed: a) Immerse the wafer in the PR remover for 3 min. b) Rinse with Acetone for 20 sec. c) Rinse with Methanol for 20 sec. d) Rinse with DI for 3 min. e) Blow dry with N2. 7.4 Analysis Compare the measured oxide thickness to the calculated value from last weeks lab. Based on the manufactures information for the photoresist and the spin speed and time used in the lab, estimate the photoresist thickness.

Figure 3 - Photoresist Data from Shipley Corp.

15

Prepared by Don Reinhard and Dean Aslam; F08

The mask 1 wafer pattern is shown in Figure 4. Draw a cross-sectional view for the portion indicated and numerically label the horizontal and vertical dimensions of interest.

Figure 4 - Wafer Pattern for Mask 1

Show crosssection

16

Prepared by Don Reinhard and Dean Aslam; F08

8. Lab Week 4: Boron diffusion (pre-deposition): [170 min] 8.1 Overview Safety First: 1) See section 3 for details on safety. 2) Remember the furnace rod end will be hot. Dont touch it for 5 minutes after removal from the furnace. 3) Remember proper lab attire. Goal: Carry out a p-type diffusion (pre-deposition) into n-type Si. Process Flow: RCA clean -> diffusion -> etch borosilicate glass 8.2 Process Steps 1) RCA clean: You will now do RCA clean before the wafer goes in the furnace for predeposition diffusion: a) Rinse the wafer in running DI water for 3 min, dry the wafer with N2 and transfer it to a basket labeled degrease etch. b) Immerse wafer in freshly prepared degrease etch for 10 min at 50 C (10 %). Degrease etch, which removes organic impurities, consists of 75 ml H2O:15 ml H2O2 (30% solution):15 ml NH3OH. Degrease or demetal etch solution must stay inside the hood. If it spills on clothes, remove clothes. Never mix an acid and a solvent or heat them on the same hot plate. See data sheet # 1 for details. c) Remove the wafer and basket from degrease etch beaker and immerse them in beaker containing DI water for 30 seconds. d) Remove the wafer and basket from DI water beaker and rinse them in running DI water for 3 min. e) Immerse the wafer and basket in freshly prepared demetal etch for 10 min at 50 C (10 %). Demetal etch, which removes metalic impurities, consists of 75 ml H2O:15 ml H2O2 (30% solution):15 ml HCl. See section 3 for safety details. f) Remove the wafer and basket from demetal etch beaker and immerse them in beaker containing DI water for 30 seconds. g) Remove the wafer and basket from DI water beaker and rinse them in running DI water for 3 min. 2) Take the wafer out of the basket and place it in a wafer holder. 3) Dry the wafer with N2. The wafer should be spotless with no stains or blemishes. 4) Pre-deposition diffusion: a) Place the wafer in quartz boat.
17

Prepared by Don Reinhard and Dean Aslam; F08

b) Check that the furnace temperature is 925 C and flow rate is 400 sccm of N2. c) Slide the boat into the center of furnace slowly at 5cm per 10 sec. (3 min. total). d) Do pre-deposition for 60 min. e) Pull the boat out to end of the tube and let it cool for 3 minutes. f) Pull the boat out of the furnace and let it cool another 3 minutes. 5) Switch the furnace gas flow and temperature back to idle condition (750 C, 30 sccm). 6) Etch borosilicate glass: a) Place the wafer in basket and immerse the wafer in 9:1 H20:HF solution for 40 s. b) Rinse for 3 min in running DI. c) Immerse the wafer in Borosilicate Etch solution [50ml H2SO4: 50ml HNO3] for 7 minutes. d) Rinse for 3 min in running DI. e) Immerse the wafer in 50:1 H20:HF solution for 30 s. f) Rinse for 3 min in running DI and blow dry with N2. 7) Store the wafers in a clean area for the next experiment. 8.3 Analysis Assuming a constant-source diffusion with N0 determined by the solid solubility, use equation 4.4 of Jaeger (course text) and the original n-type concentration of your wafer to determine the depth of the p-n junction resulting from the pre-deposition diffusion. Use the Irvin curves of Jaegers chapter 4 to estimate the sheet resistance associated with the pre-deposition diffusion.

18

Prepared by Don Reinhard and Dean Aslam; F08

9. Lab Week 5: Diffusion (drive-in): [85 min] 9.1 Overview Safety First: 1) See section 3 for details on safety. 2) Remember the furnace rod end will be hot. Dont touch it for 5 minutes after removal from the furnace. 3) Remember to use a face shield and rubber gloves. Goal: Test area evaluation, drive-in diffusion. Process Flow: test area evaluation -> RCA clean -> drive-in diffusion 9.2 Measurement 1) Check at least two test regions with the four point probe. Take 5 measurements for each, lifting the wafer slightly each time but remembering not to more the wafer when the tips are down. Record the V and I values 9.3 Process Steps 1) Inspect the wafer und microscope. If you see some spots on the wafer go through the acetone and methanol cleaning procedure before starting the RCA clean. 2) RCA clean: a) Rinse the wafer in running DI water for 3 min, dry the wafer with N2 and transfer it to a basket labeled degrease etch. b) Immerse wafer in freshly prepared degrease etch for 10 min at 50 C (10 %). Degrease etch, which removes organic impurities, consists of 75 ml H2O:15 ml H2O2 (30% solution):15 ml NH3OH. Degrease or demetal etch solution must stay inside the hood. If it spills on clothes, remove clothes. Never mix an acid and a solvent or heat them on the same hot plate. See data sheet # 1 for details. c) Remove the wafer and basket from degrease etch beaker and immerse them in beaker containing DI water for 30 seconds. d) Remove the wafer and basket from DI water beaker and rinse them in running DI water for 3 min. e) Immerse the wafer and basket in freshly prepared demetal etch for 10 min at 50 C (10 %). Demetal etch, which removes metalic impurities, consists of 75 ml H2O:15 ml H2O2 (30% solution):15 ml HCl. See section 3 for safety details. f) Remove the wafer and basket from demetal etch beaker and immerse them in beaker containing DI water for 30 seconds.
19

Prepared by Don Reinhard and Dean Aslam; F08

g) Remove the wafer and basket from DI water beaker and rinse them in running DI water for 3 min. Blow dry with N2. 3) Drive-in diffusion: a) Set the Oxygen flow rate at 400 sccm and furnace temp at 1100 C (run it for 1 hr). b) Place the wafer in the quartz boat of the p-type drive in furnace c) Slide the boat in slowly (5cm per 10sec) total 3 minutes. d) Do the following oxidation procedure; 5 min dry, 10 min wet and 10 min dry. e) Switch the flow to N2 and set it to 400 sccm. Anneal the wafers at 1100 C for 15 min. f) Pull the boat out of the center of the furnace taking 3 min and leave the boat near the end of the furnace for 3 min to cool down. Set the furnace to idle condition (750 C, 30 sccm). Remove the wafer from the boat and place it in a quartz holder. 4) Store the wafer in dark clean place for the next experiment. 9.4 Analysis Calculate the average of your (V/I) values, the maximum value, the minimum value and the standard deviation for the test areas. Determine the 4-point probe correction factor based on the probe spacing and the test area dimensions.. Calcuate test areas average sheet resistance and standard deviation. Use the methodology of equation 4.6 of Jaeger (course text) and the original n-type concentration of your wafer to determine the depth of the p-n junction resulting from the pre-deposition diffusion. Use the Irvin curves of Jaegers chapter 4 to estimate the sheet resistance associated with the pre-deposition diffusion. Compare to the measured result

20

Prepared by Don Reinhard and Dean Aslam; F08

10. Lab Week 6: Lithography (mask 2): [65 min] 10.1 Overview Safety First: 1) See section 3 for details on safety. 2) Remember proper lab attire. 3) Use great caution with HF. Goal: generate pattern using for gate oxides using mask 2. Process Flow: align and expose using mask 2 -> develop to generate pattern, -> etch window -> remove PR Figure 5 - Detail of Mask 2 Result on Wafer

Gate oxide region for a MOSFET

10.2 Process Steps 1) Spin PR on the wafer: a) Using a dropper, cover the entire wafer with positive PR. b) Spin the wafer for 30 s at 3000 rpm. c) Bake wafer for 20 min. 2) Now you will use the mask aligner and mask 2 to align and then generate a pattern in PR: a) Turn on the vacuum pump providing vacuum for the mask aligner. Direct the vacuum to aligner. b) Turn on the low and high pressure N2 gas. a) Turn on the two toggle valves on the manometer box. b) Turn on the power switch on the UV lamp controller. Wait for the ready display and press start button. c) Turn on the mask aligner, video monitor, CCD camera, and microscope. d) Place the mask on the holder (Pattern face up on the table so that it will be adjacent to the wafer)
21

Prepared by Don Reinhard and Dean Aslam; F08

3)

4)

5)

6)

Turn on the vacuum switch (toggle switch is down for vacuum on). Place the mask holder in the stage and turn on maskholder button. In the auto mode push start button, that will move the lamp house to left. Place the wafer on the wafer stage and press the foot switch. Contact light will light up / if not press separate button. Check for the alignment; the alignment is done between the structure on the wafer and the structure on the mask using the alignment marks which are provided on all masks. k) Press exposure button. l) Remove the wafer and put it in a holder. [This step will be completed by TA]: Turn off the mask holder button and remove mask from stage. Turn off the vacuum mask switch up and remove the mask. Turn off the mask aligner, monitor, UV lamp controller. Wait for 15 to 30 min. And turn down two manometer toggle valves. Turn off the vacuum pump and close the N2 gas tanks. The exposed areas of the positive PR can now be dissolved in developer to generate a pattern in PR: a) Immerse the wafer in the developer agitating continuously for 45 -60 seconds. b) DI rinse in a beaker 1 min. c) Blow dry with N2. d) Postbake for 15 min at 135 C. Etch window: Using PR as a mask, you will now use the following sequence to etch windows in the field oxide so that p-diffusion can take place in n-Si through these windows: a) Prepare 3 beakers; Buffered Oxide Etch, DI-1 and DI-2. Buffered etch is a mixture of 7HF (40 %):1NH4F (50%). b) Immerse the wafer and basket into Buffered Etch (Etch rate is approximately 100 nm per min.). c) Immerse the wafer into DI-2 for SiO2 etching test: Observe the back surface of the wafer. If it is wet (i.e. covered with a continuous film of water) then SiO2 is not completely etched. If a few isolated drops are found on the backside, then SiO2 is completely etched away. d) If SiO2 is still remains, immerse the wafer into Buffered Etch again. e) When SiO2 is completely etched away, rinse the wafer in DI for 3 min. f) Blow dry with N2. g) Inspect the wafer under microscope: etched away areas should appear white and spotfree. Remove PR: Now that windows have been etched in SiO2, PR has served its purpose and needs to be removed: a) Immerse the wafer in the PR remover for 3 min. b) Rinse with acetone for 20 sec. c) Rinse with methanol for 20 sec. d) Rinse with DI for 3 min. e) Blow dry with N2. Store wafers for the next experiment.

e) f) g) h) i) j)

22

Prepared by Don Reinhard and Dean Aslam; F08

11. Lab Week 7: Gate oxidation: [110 min] 11.1 Overview Safety First: 1) See section 3 for details on safety. 2) Remember the furnace rod end will be hot. Dont touch it for 5 minutes after removal from the furnace. Goal: grow gate oxide in selected areas. Process Flow: RCA clean -> gate oxidation and N2 anneal 11.2 Process Steps 1) RCA clean: You will now do RCA clean before the wafer goes in the furnace for the gate oxidation: a) Rinse the wafer in running DI water for 3 min, dry the wafer with N2 and transfer it to a basket labeled degrease etch. b) Immerse wafer in freshly prepared degrease etch for 10 min at 50 C (10 %). Degrease etch, which removes organic impurities, consists of 75 ml H2O:15 ml H2O2 (30% solution):15 ml NH3OH. Degrease or demetal etch solution must stay inside the hood. If it spills on clothes, remove clothes. Never mix an acid and a solvent or heat them on the same hot plate. See section 3 for details. c) Remove the wafer and basket from degrease etch beaker and immerse them in beaker containing DI water for 30 seconds. d) Remove the wafer and basket from DI water beaker and rinse them in running DI water for 3 min. e) Immerse the wafer and basket in freshly prepared demetal etch for 10 min at 50 C(10 %). Demetal etch, which removes metalic impurities, consists of 75 ml H2O:15 ml H2O2 (30% solution):15 ml HCl. See data section 3 for safety details. f) Remove the wafer and basket from demetal etch beaker and immerse them in beaker containing DI water for 30 seconds. g) Remove the wafer and basket from DI water beaker and rinse them in running DI water for 3 min. 2) Take the wafer out of the basket and place it in a wafer holder. 3) Dry the wafer with N2. The wafer should be spotless with no stains or blemishes. 4) Dry oxidation: a) Place the wafer in the center of quartz boat of dry oxidation furnace. b) Set the furnace temperature at 1100 C and Oxygen flow rate at 400 sccm. Oxygen flow should have been on for at least an hour prior to this point.
23

Prepared by Don Reinhard and Dean Aslam; F08

c) Slide the boat into the furnace slowly (5 cm per 10second) taking a total of 3 minutes to push the boat into the center of furnace. d) Carry out dry oxidation for 30 min. e) Anneal in N2 for 30 min. f) Pull the boat out of the hot zone taking 3 min. 5) Set the furnace to idle conditions (750 C, 30 sccm). 11.3 Analysis Use the methodology of Equation 3.9 of Jaeger (course text) to calculate the oxide thickness in the gate oxide regions Use methodology of Equation 3.9 of Jaeger to calculate the oxide thickness in the field oxide regions

24

Prepared by Don Reinhard and Dean Aslam; F08

12. Lab Week 8: Lithography using mask 3 for contact cuts: [115 min], metallization. 12.1 Overview Safety First: 1) See section 3 for details on safety. 2) Remember proper lab attire. 3) Use great caution when using HF. Goal: generate pattern using mask 3, etch contact holes, then coat waver with aluminum. Process Flow: measure oxide thickness -> pin PR -> generate pattern with mask 3 -> etch holes in oxide -> coat with Al 12.2 Measurement 2) Measure the oxide thickness using the ellipsometer: a) Turn on the ellipsometer power (turn the key to clockwise). b) Place the wafer and set polarizer drum to 85 and analyzer to 45 degree. c) Adjust the gain control until meter reads approximately 140 to 200. d) Rotate the analyzer drum (staying in the red region) to yield the lowest reading. If meter reading is over 100 use gain control to go below 100. Rotate polarizer drum, (staying in the red region) to get an even lower reading. Repeat the process, going back and forth between the analyzer rotation and polarizer rotation, until a minum is reached. Read the analyzer drum reading (this is called the A1 value). Read the polarizer drum reading (this is called the P1 value). e) A program to utilize these values is available on the computer next to the ellipsometer. The program is started via a desktop icon. Utilize the F5 key and enter A1 and P1 values. The program will then provide approximately analyzer drum and polarizer drum values for the second minimum, referred to as A2 and P2 values respectively. Set the drum readings to these values and iterate back and forth between the analyzer drum and the polarizer drum to get a minimum again. These are the actually A2 and P2 values. f) Enter the A2 and P2 values in the computer. The software will provide you with a oxide thickness. You can also request the program to provide all possible oxide thicknesses using a list option at the bottom of the screen. 3) If there is ambiguity ad to the actual oxide thickness, one may repeat with a different angle and determine the most likely thickness. Measure the oxide thickness using the ellipsometer: a) Turn on the ellipsometer power (turn the key to clockwise). b) Place the wafer and set polarizer drum to 85 and analyzer to 45 degree. c) Adjust the gain control until meter reads approximately 140 to 200. d) Rotate the analyzer drum (staying in the red region) to yield the lowest reading. If meter reading is over 100 use gain control to go below 100. Rotate polarizer drum, (staying in the red region) to get an even lower reading. Repeat the process, going back and forth between the analyzer rotation and polarizer rotation, until a minum is reached. Read the analyzer drum reading (this is called the A1 value). Read the polarizer drum reading (this
25

Prepared by Don Reinhard and Dean Aslam; F08

is called the P1 value). e) A program to utilize these values is available on the computer next to the ellipsometer. The program is started via a desktop icon. Utilize the F5 key and enter A1 and P1 values. The program will then provide approximately analyzer drum and polarizer drum values for the second minimum, referred to as A2 and P2 values respectively. Set the drum readings to these values and iterate back and forth between the analyzer drum and the polarizer drum to get a minimum again. These are the actually A2 and P2 values. f) Enter the A2 and P2 values in the computer. The software will provide you with a oxide thickness. You can also request the program to provide all possible oxide thicknesses using a list option at the bottom of the screen. a) If there is ambiguity ad to the actual oxide thickness, one may repeat with a different angle and determine the most likely thickness. 12.3 Process Steps 1) Pre-PR cleaning: a) Immerse the wafer in acetone for 20 sec. b) Immerse the wafer in methanol for 20 sec. c) Rinse with DI for 3 min. d) Blow dry with N2. e) Bake the wafer at 65 C for 15 min. 2) Spin positive PR on the wafer: a) Using a dropper, cover the entire wafer with positive PR. b) Spin the wafer for 30 s at 3000 rpm. 3) Pre-bake the wafer for 20 min at 65 C.

Figure 6 - Detail of Features on the Glass of Mask 3

4) Now you will use the mask aligner and mask 3 to align and then generate a pattern in PR: a) Turn on the vacuum pump providing vacuum for the mask aligner. Direct the vacuum to aligner. b) Turn on the low and

26

Prepared by Don Reinhard and Dean Aslam; F08

high pressure N2 gas. c) Turn on the two toggle valves on the manometer box. d) Turn on the power switch on the UV lamp controller. Wait for ready display and press start button. e) Turn on the mask aligner, video monitor, CCD camera, and microscope. f) Place the mask on the holder (Pattern face up). g) Turn on the vacuum switch (toggle switch is down for vacuum on). h) Place the mask holder in the stage and turn on maskholder button. i) In the auto mode push start button, that will move the lamp house to left. j) Place the wafer on the wafer stage and press the foot switch. k) Contact light will light up / if not press separate button. l) Check for the alignment; the alignment is done between the structure on the wafer and the structure on the mask using the alignment marks which are provided on all masks. m) Press exposure button. n) Remove the wafer and put it in a holder. [This step will be completed by TA]: Turn off the mask holder button and remove mask from stage. Turn off the vacuum mask switch up and remove the mask. Turn off the mask aligner, monitor, UV lamp controller. Wait for 15 to 30 min. And turn down two manometer toggle valves. Turn off the vacuum pump and close the N2 gas tanks. 5) The exposed areas of the positive PR can now be dissolved in developer to generate a pattern in PR: a) Immerse the wafer in the developer agitating continuously for 45 -60 seconds. b) DI rinse in a beaker 1 min. c) Blow dry with N2. d) Postbake for 15 min at 135 C. 6) Etch window: Using PR as a mask, you will now use the following sequence to etch windows in the oxide so that metal contact can be made: a) Prepare 3 beakers; Buffered Oxide Etch, DI-1 and DI-2. Buffered etch is a mixture of 7HF (40 %):1NH4F (50%). b) Immerse the wafer and basket into Buffered Etch (Etch rate is 100 nm per min. Find the etch time using the oxide thickness ~300 nm). c) Immerse the wafer into DI-2 for SiO2 etching test: Observe the back surface of the wafer. If it is wet (i.e. covered with a continuous film of water) then SiO2 is not completely etched. If a few isolated drops are found on the backside, then SiO2 is completely etched away. d) If SiO2 is still remains, immerse the wafer into Buffered Etch again. e) When SiO2 is completely etched away, rinse the wafer in DI for 3 min. f) Blow dry with N2. g) Inspect the wafer under microscope: etched away areas should appear white and spotfree. 7) Remove PR: Now that windows have been etched in SiO2, PR has served its purpose and needs to be removed: a) Immerse the wafer in the PR remover for 3 min. b) Rinse with Acetone for 20 sec. c) Rinse with Methanol for 20 sec. d) Rinse with DI for 3 min.

27

Prepared by Don Reinhard and Dean Aslam; F08

e) Blow dry with N2. 8) Evaporate Al (done by TA). 12.4 Analysis Compare the gate oxide thickness measurement result from this lab with the calculated value from last week. Discuss possible reasons for differences. Calculate the expected MOS voltage given your initial wafer doping and your gate oxide thickness. Use the methodology of Equation 9.2 from Jaeger (course text).

28

Prepared by Don Reinhard and Dean Aslam; F08

13. Lab Week 9: Lithography using mask 4 for patterning metal [120 min]. 13.1 Overview Safety First: 1) See section 3 for details on safety. 2) Remember appropriate lab attire. Goal: pattern Al and anneal. Process Flow: spin PR -> generate pattern with mask 4 -> etch Al -> low-T anneal 13.2 Process Steps 1) Pre-PR cleaning: a) Immerse the wafer in Acetone for 20 sec. b) Immerse the wafer in Methanol for 20 sec. c) Rinse with DI for 3 min. d) Blow dry with N2. 2) Bake the wafer at 65 C for 15 min. 3) Spin positive PR on the wafer: a) Using a dropper, cover the entire wafer with positive PR. b) Spin the wafer for 30 s at 3000 rpm. 4) Pre-bake the wafer for 20 min at 65 C. 5) Now you will use the mask aligner and mask 4 to align and then generate a pattern in PR: a) Turn on the vacuum pump providing vacuum for the mask aligner. Direct the vacuum to aligner. b) Turn on the low and high pressure N2 gas. c) Turn on the two toggle valves on the manometer box. d) Turn on the power switch on the UV lamp controller. Wait for ready display and press start button. e) Turn on the mask aligner, video monitor, CCD camera, and microscope. f) Place the mask on the holder (Pattern face up). g) Turn on the vacuum switch (toggle switch is down for vacuum on). h) Place the mask holder in the stage and turn on maskholder button. i) In the auto mode push start button, that will move the lamp house to left. j) Place the wafer on the wafer stage and press the foot switch. k) Contact light will light up / if not press separate button. l) Check for the alignment; the alignment is done between the structure on the wafer and the structure on the mask using the alignment marks which are provided on all masks. m) Press exposure button. n) Remove the wafer and put it in a holder. [This step will be completed by TA]: Turn off the mask holder button and remove mask from stage. Turn off the mask aligner, monitor, UV lamp controller. Wait for 15 to 30 min. And turn down two manometer toggle valves. Turn off the vacuum pump and close the N2 gas tanks.

29

Prepared by Don Reinhard and Dean Aslam; F08

Figure 7 - Detail of the Features on the Glass Mask 4

6) 5. The exposed areas of PR can now be dissolved in developer to generate a pattern in PR: a) Immerse the wafer in the developer agitating continuously for 45 -60 seconds. b) DI rinse in a beaker 1 min. c) Blow dry with N2. 7) Postbake for 15 min at 135 C. 8) Al etching: a) Immerse the wafer and basket in Aluminum etch at 40 to 50C. Aluminum Etch Solution (to be prepared by TA): 80ml H3PO4, 5ml HNO3, 5 ml acetic acid, 10 ml H2O. b) Check for complete etching (see when Al just disappears). c) Rinse gently in running DI for 3 min. d) Blow dry with N2. 9) 7. Remove PR a) Immerse the wafer in the PR remover for 3 min. b) Rinse with Acetone for 20 sec. c) Rinse with Methanol for 20 sec. d) Rinse with DI for 3 min. e) Blow dry with N2. 10) 8. Al anneal: a) Anneal for 45 min at 450 C in forming gas. Forming gas consists of 5 % hydrogen and 95 % nitrogen. b) Pull out wafer slowly (in approximately 2 min). c) Place wafer in a holder. 11) Store the wafer for measurements. Figure 8 - A 'Chip' on the Processed Wafer

30

Prep pared by Don Reinhard and Dean Aslam; F08 e a

31

Prepared by Don Reinhard and Dean Aslam; F08

13.3 Analysis Figure 9 - Identification of Devices

Diode MOSFETs Capacitors Resistors

MOSFET Blowup

Referring to Figure 9, draw the MOSFET cross-section where indicated by the red arrows showing both vertical and horizontal dimensions of features of interest.

32

Prepared by Don Reinhard and Dean Aslam; F08

14. Device Testing 14.1 Curve Tracer Introduction

Figure 10 - Front View of the Curve Tracer The curve tracer is an electronic instrument that plots current vs. voltage. For example, if a resistor is connected to the terminals, a linear plot is expected. In the picture above, this is in fact the test condition. The vertical axis is current (1 mA/division) and the horizontal axis is voltage (1 V/division). One can control a number of things such as the volts/division and amperes/division on the display. Also, one can specify whether the plot should include only positive voltages and currents, only negative voltages and currents, or both positive and negative voltages and currents. Moreover, the curve-tracer can also plot the current-voltage characteristics of 3 terminal devices and display a family of curves. A full treatment of the instrument is somewhat complicated. However, the curve tracer is programmable and your lab instructor has set up several programmed modes for various test situations. Several examples are treated in this handout.

33

Prepared by Don Reinhard and Dean Aslam; F08

14.2 Using the curve-tracer to measure the current-voltage characteristics of a resistor. When measuring a resistor, connect one end of the resistor to the C terminal on the curve tracer and the other end of the resistor to the E terminal. The B terminal is left open. The C terminal voltage is swept from negative to positive voltages and the E terminal is grounded.. In Program One the current scale is 1 mA per division and the voltage scale is 1 V/division. In Program Two, the current scale is 100 A per division and the voltage scale is 1 V/division. So a 1 K resistor would have a slope of unity when using Program One and a 10 K resistor would have a slope of unity when using Program Two. Figure 11 shows a view of an MSU student fabricated wafer in which a resistor is contacted by micro-probes. These probes are connected by test leads to the curve tracer. (Mask lithography patterns may vary in details from semesteor to semester.

Figure 11 - Microprobe Contacts to a Resistor Fabricated in the MSU Student Lab

34

Prepared by Don Reinhard and Dean Aslam; F08

With the curve-tracer set to the Program One conditions, as described earlier, the following current-voltage characteristic is obtained on the curve-tracer display.

Figure 12 - Curve-Tracer Display for a Resistor Although Figure 12 does not show the divisions on the screen, the slope can be seen to be near unity, indicating an approximately 1 K resistor. The curve-tracer has additional cursor features that allow one to measure the slope. 14.3 Using the Curve-Tracer to measure the current-voltage characteristics of a diode. Although the diode is also a two terminal device, unlike the resistor its current-voltage characteristics are not symmetric. It is often desirable to use one current and voltage scale combination to examine the forward characteristics and a different combination for the reverse characteristics. Program three uses 10 A per division and 100 mV per division and sweeps the C terminal voltage from zero to positive values. It is used to measure the forward characteristics. Program four uses 1 A per division and 1 V per division and sweeps the C terminal voltage from zero to negative values. It is used to measure the reverse characteristics. Recall that the cathode of the diode on the chip is the n-type substrate. An ohmic contact to the substrate would require a phosphorus n+ diffusion. If that was not done, then an approximate
35

Prepared by Don Reinhard and Dean Aslam; F08

ohmic contact can be the metallized back surface of the wafer. The C terminal on the curvetracer is connected to the diode anode and the E terminal on the curve-tracer is connected to the diode cathode. Figure 13 shows the case when both anode and contact pads are on the top surface of the wafer.

Figure 13 - Microprobe Contacts to a Diode when a Surface n+ Contact is Available Figure14 shows the curve-tracer display for the forward diode characteristics and the reverse characteristics. If the turn-on voltage for the diode is taken to be the forward voltage bias at which the diode current is 100 A, then the results indicate a turn-on voltage between 0.6 and 0.7 volts. The reverse characteristics for this diode shows negligible leakage current (at least negligible compared to 1 A) at 10 volt reverse bias.

36

Prepared by Don Reinhard and Dean Aslam; F08

Figure 14 - Forward I-V Characteristics for a Student-Fabricated Diode (top) and Reverse Characteristics (bottom). (The Origin (0 V, 0A0 is in the Lower Left Corner for the Former and the Upper Right Corner for the Latter)

37

Prepared by Don Reinhard and Dean Aslam; F08

14.4 Using the Curve-Tracer to measure the current-voltage characteristics of a MOSFET. The MOSFET is a three terminal device, so its current-voltage characteristics are often illustrated as a family of curves. Typically, the drain current is plotted vs. drain-to-source voltage for various values, or steps, of gate-to source voltage. In Program six, the drain-to-source scaling is 500 mV/division and the drain-current scaling on the vertical axis is 100 A/div. The drain of the MOSFET is connected to the C terminal of the curve-tracer, the gate of the MOSFET is connected to the B terminal of the curve-tracer, and the source is connected to the E terminal of the curve tracer which is grounded. The C terminal is swept from zero to negative voltages. The gate-to-source voltage is stepped in 10 steps, at 2 V per step, from zero volts to 20 volts. The results are shown below. Knowing that the most negative gate-to-source voltage is 20 volts, and that there are 10 steps, one can deduce that the threshold voltage between 4 and 6 volts for this MOSFET. In the figure below, the origin (0 V, 0 A) is in the upper right hand corner of the display. Figure 15 - Family of Curves for a Student-Fabricated P-Channel MOSFET

QuickTime and a Photo - JPEG decompressor are needed to see this picture.

38

Prepared by Don Reinhard and Dean Aslam; F08

14.5 Capacitance vs. voltage measurements for MOS capacitors The capacitance vs. voltage of the MOS capacitors yields the flat-band voltage, the threshold voltage, and an electrical measurement of the gate oxide thickness. One may identify three distinct regions as shown in Figure 16: the inversion region; the depletion region; and the accumulation region. See also the discussion in the ECE 474 text by Streetman. The ECE 477 lab has a dedicated capacitance bridge and probe station, computer interfaced to automatically measure capacitance as the voltage is swept. Figure 16 - Capacitance vs. Voltage for a Student-Fabricated MOS Structure

accumulation

depletion

inversion

threshold voltage A In the accumulation region, the capacitance is given by C = OX where tOX is the oxide tOX thickness. A is the capacitance area, and OX is the oxide permitivitty.

39

Prepared by Don Reinhard and Dean Aslam; F08

16. Solution Mixing Guidelines Generally all solutions will be prepared prior to the laboratory by technical staff from the Electrical Engineering Department. However, in special cases, a student who is authorized to mix solutions should observe the following guidelines: 1. Use a face shield and goggles. Always wear rubber gloves and a lab coat. Mix chemicals under a hood with a fume exhaust. Never peer into the top of a beaker, always view it from the side. 2. Pour chemicals slowly. Make sure you have the right chemicals. Always pour acid into water, never water into acid. Use Teflon or an appropriate plastic beaker for HF solutions. 3. Never mix acids and solvents, or heat them on the same hot plate. 4. Always label beakers. Do not let used chemicals accumulate in beakers. When you are finished, dispose of the solution unless someone else will be using it that lab period. (An exception to this rule is photoresist strip solution.) 5. Empty beakers should be rinsed thoroughly. 6. Always start with clean beakers which are stored top down in a clean area. If beakers must be cleaned, an adequate procedure for purposes of these exercises is as follows. a. Scrub in hot water and AlconoxTM with a brush. b. Rinse in hot water. c. Rinse in distilled water. d. Rinse in deionized water. e. Bake out glass beakers in clean area until dry. Dry plastic and Teflon beakers at room temperature. (For higher purity procedures, chromic acid is used to clean glassware.) Some commonly used solutions in amounts appropriate for single wafer, beaker processing are as follows. In all cases below where water is used, deionized water is assumed. Degrease Etch (5H2O:1H2O2:1NH4H4): 75 ml H2O 15 ml H2O2 (30% solution) 15 ml NH4OH Demetal Etch (8H2O:2H2O2:1HCL): 80 ml H2O 20 ml H2O2 (30% solution) 10 ml HCl Aluminum Etch (2H2O:16H3PO4:1HNO3:1 Acetic Acid) 80 ml H3PO4 5 ml HNO3 5 ml Acetic acid 10 ml H2O

40

Prepared by Don Reinhard and Dean Aslam; F08

Buffer Etch (mixture of HF and ammonium fluoride 7 parts 40% NH4F to 1 part 50% HF Borosilicate Etch (1H2SO4:1HNO3) 50 ml H2SO4 50 ml HNO3

41

Prepared by Don Reinhard and Dean Aslam; F08

References: 1. N. I. Sax, Dangerous Properties of Laboratory Materials, 5th Edition, Van Nostrand Reinhold Company, New York, 1979. 2. F. M. Smits, Measurement of Sheet Resistivities with the Four Point Probe, Bell System Technical Journal, 711-718, May, 1958. 3. W. Kern and D. A. Puotinen, Cleaning Solutions Based on Hydrogen Peroxide for use in Silicon Semiconductor Technology, RCA Review, 187-206, June, 1970. 4. W. E. Beadle, J.C.C. Tsai, and R. D. Plummer, Quick Reference Manual for Silicon Integrated Circuit Technology, John Wiley and Sons, New York, 1985. Notes: 1. Alconox is distributed by VWR Scientific Company, P.O.Box 3200, San Francisco, California, 94119. 2. Kimwipe is a trademark of Kimberly Clark Corporation, Roswell, Georgia, 30076. 3. Signatone probe stations are available from Signatone, 3687 Enochs Street, Santa Clara, California 95051.

42

Anda mungkin juga menyukai