Anda di halaman 1dari 21

LAPORAN LABORATORIUM DIGITAL

PROGRAM STUDI TEKNIK TELEKOMUNIKASI

NO. PERCOBAAN : 09

JUDUL : DECOCER BCD TO 7 SEGMENTS

NAMA PRAKTIKAN : Annisa Shafira Darmawan 1317030037

NAMA REKAN KERJA : Adi Papa Huan Saudale 1317031203

Ujang Fahmi 1317031033

KELAS / KELOMPOK : TT-3D / KELOMPOK 7

TANGGAL PELAKSANAAN PRAKTIKUM : 9 Oktober 2018

TANGGAL PENYERAHAN LAPORAN : 9 Oktober 2018

PROGRAM STUDI TEKNIK TELEKOMUNIKASI

JURUSAN TEKNIK ELEKTRO

POLITEKNIK NEGERI JAKARTA

13 OKTOBER 2018
KATA PENGANTAR

Puji syukur kehadirat Allah SWT, karena dengan rahmat dan bimbingan-Nya
penulis dapat menyelesaikan laporan ini. Penulis menyadari bahwa laporan ini
dapat terselesikan karena usaha dan kerjasama penulis serta kemahakuasaan-Nya.

Laporan ini berjudul “DECODER BCD to 7 Segments”. Laporan ini disusun


untuk memenuhi tugas mata kuliah Praktik Digital 2 serta menambah pengetahuan
dan wawasan mahasiswa dalam mempelajari mata kuliah ini.

Penulis merasa laporan ini masih jauh dari sempurna, sehingga kami akan
menerima kritik dan saran dari semua pihak yang akan membantu penyempurnaan
pembuatan laporan ini. Semoga laporan ini dapat bermanfaat.

Depok, 13 Oktober 2018

Penyusun

2
DAFTAR ISI

Kata Pengantar ...................................................................................................... 2

Daftar Isi................................................................................................................ 3

1. Tujuan ............................................................................................................... 4
2. Dasar Teori ....................................................................................................... 4
2.1 Seven Segment .......................................................................................... 4
2.2 Decoder BCD to Seven Segment .............................................................. 4
3. Alat-Alat yang Digunakan................................................................................ 4
4. Langkah Percobaan .......................................................................................... 4
5. Tabel Hasil Percobaan ...................................................................................... 6
5.1 IC 7447 Decoder BCD to Seven Segment ................................................ 6
5.2 IC 7448 Decoder BCD to Seven Segment ................................................ 7
6. Analisa .............................................................................................................. 7
6.1 Tabel 5.1 .................................................................................................... 7
6.2 Tabel 5.2 .................................................................................................... 11
7. Tugas ................................................................................................................ 14
8. Kesimpulan ....................................................................................................... 15

Daftar Pustaka ....................................................................................................... 16

Lampiran ............................................................................................................... 17

3
PERCOBAAN 9

DECODER BCD TO 7 SEGMENTS

1. Tujuan
a. Memahami prinsip kerja dari seven segment Common Anoda dan
Common Cathoda.
b. Mempelajari prinsip kerja IC 7447 dan 7448 sebagai decoder BCD to
seven segment.
2. Dasar Teori
2.1 Seven Segment
Seven segment biasanya digunakan untuk menampilkan data decimal : 0,
1, 2, 3, 4, 5, 6,7, 8, dan 9. Konfigurasi yang ada untuk seven segment
adalah common anoda dan common cathode.
2.2 Decoder BCD to Seven
Decoder mengambil kode-kode input BCD 4-bit dan menghasilkan tujuh
output (a, b, c, d, e, f, dan g), sehingga kode decimal dapat ditampilkan
(Table 2.1).
IC yang umumnya dipergunakan adalah 7447 untuk seven segment
common anoda dan IC 7448 untuk seven segment common cathode.

Input Output
D C B A a b c d e f g
0 0 0 0 1 1 1 1 1 1 0
0 0 0 1 0 1 1 0 0 0 0
0 0 1 0 1 1 0 1 1 0 1
0 0 1 1 1 1 1 1 0 0 1
0 1 0 0 0 1 1 0 0 1 1
0 1 0 1 1 0 1 1 0 1 1
0 1 1 0 0 0 1 1 1 1 1
0 1 1 1 1 1 1 0 0 0 0
1 0 0 0 1 1 1 1 1 1 1
1 0 0 1 1 1 1 0 0 1 1

4
3. Alat-Alat Yang Digunakan
No. Alat-alat dan komponen Jumlah
1 Seven Segment Common Anoda (CA) 1
Seven Segment Common Cathode (CC) 1
IC 7448 (BCD to 1-Segment
1
Decoder/Driver)
IC 7447 (BCD to 1-Segment
1
Decoder/Driver)
2 Power Supply DC (PASCAL PS 1502A21) 1
3 Multimeter (Sanwa CD 772) 1
4 Logik Probe (LP-3500) 1
5 Resistor 220Ω 7
6 LED 7
7 Protoboard (MCP ML-35 B) 1
8 Kabel-kabel penghubung Secukupnya

4. Langkah Percobaan
1. Atur tegangan power supply sebesar 5 Volt.
2. Buat rangkaian seperti gambar berikut.

3. Atur input A ke ground.


4. Hubungkan terminal Y ke salah satu kaki seven segment, amati dan catat
kondisi segment.
5. Ulangi langkah 4 untuk kaki segment yang lain.
6. Ubah input A ke Vcc.
7. Ulangi langkah 4 dan 5.

5
8. Ulangi langkah 1-7 untuk seven segment yang lain.
9. Buatlah rangkaian decoder to seven segment mempergunakan IC 7447
dan IC 7448 seperti gambar berikut.

10. Berikan input enable LT, BI/RBO, serta input DCBA sesuai tabel 6.1 dan
tabel 6.2.
11. Amati dan catat kondisi segment a, b, c, d, e, f, dan g serta tampilan seven
segment lengkap pada tabel 6.1 dan tabel 6.2.

5. Tabel Hasil Percobaan

5.1 IC 7447 Decoder BCD to Seven Segment


Input BI/ Output
LT RBI D C B A RBO a b c d e f g
1 1 0 0 0 0 1 0 0 0 0 0 0 1
1 X 0 0 0 1 1 1 0 0 1 1 1 1
1 X 0 0 1 0 1 0 0 1 0 0 1 0
1 X 0 0 1 1 1 0 0 0 0 1 1 0
1 X 0 1 0 0 1 1 0 0 1 1 0 0
1 X 0 1 0 1 1 0 1 0 0 1 0 0
1 X 0 1 1 0 1 1 1 0 0 0 0 0
1 X 0 1 1 1 1 0 0 0 1 1 1 1
1 X 1 0 0 0 1 0 0 0 0 0 0 0
1 X 1 0 0 1 1 0 0 0 1 1 0 0

6
1 X 1 0 1 0 1 1 1 1 0 0 1 0
1 X 1 0 1 1 1 1 1 0 0 1 1 0
1 X 1 1 0 0 1 1 0 1 1 1 0 0
1 X 1 1 0 1 1 0 1 1 0 1 0 0
1 X 1 1 1 0 1 1 1 1 0 0 0 0
1 X 1 1 1 1 1 1 1 1 1 1 1 1
X X X X X X 0 1 1 1 1 1 1 1
1 1 0 0 0 0 0 1 1 1 1 1 1 1
0 0 X X X X 1 0 0 0 0 0 0 0

5.2 IC 7448 Decoder BCD to Seven Segment

Input BI/ Output


LT RBI D C B A RBO a b c d e f g
1 1 0 0 0 0 1 1 1 1 1 1 1 0
1 X 0 0 0 1 1 0 1 1 0 0 0 0
1 X 0 0 1 0 1 1 1 0 1 1 0 1
1 X 0 0 1 1 1 1 1 1 1 0 0 1
1 X 0 1 0 0 1 0 1 1 0 0 1 1
1 X 0 1 0 1 1 1 0 1 1 0 1 1
1 X 0 1 1 0 1 0 0 1 1 1 1 1
1 X 0 1 1 1 1 1 1 1 0 0 0 0
1 X 1 0 0 0 1 1 1 1 1 1 1 1
1 X 1 0 0 1 1 1 1 1 0 0 1 1
1 X 1 0 1 0 1 0 0 0 1 1 0 1
1 X 1 0 1 1 1 0 0 1 1 0 0 1
1 X 1 1 0 0 1 0 1 0 0 0 1 1
1 X 1 1 0 1 1 1 0 0 1 0 1 1
1 X 1 1 1 0 1 0 0 0 1 1 1 1
1 X 1 1 1 1 1 0 0 0 0 0 0 0
X X X X X X 0 0 0 0 0 0 0 0
1 1 0 0 0 0 0 0 0 0 0 0 0 0
0 0 X X X X 1 1 1 1 1 1 1 1

6. Analisis dan Pembahasan


6.1 Tabel 5.1
a. Pada baris pertama, enable LT, RBI, dan BI/RBO diberi logik 1 sehingga
IC menjadi aktif. Input DCBA diberi logik 0000. Sebagaimana logik

7
0000 merupakan bentuk biner dari angka decimal 0, maka output yang
ditampilkan pada seven segment berupa angka 0 dengan logik abcdefg
0000001 sebab IC yang digunakan merupakan active low.
b. Pada baris kedua, enable LT, RBI, dan BI/RBO diberi logik 1 sehingga
IC menjadi aktif. Input DCBA diberi logik 0001. Sebagaimana logik
0001 merupakan bentuk biner dari angka decimal 1, maka output yang
ditampilkan pada seven segment berupa angka 1 dengan logik abcdefg
1001111 sebab IC yang digunakan merupakan active low.
c. Pada baris ketiga, enable LT, RBI, dan BI/RBO diberi logik 1 sehingga
IC menjadi aktif. Input DCBA diberi logik 0010. Sebagaimana logik
0010 merupakan bentuk biner dari angka decimal 2, maka output yang
ditampilkan pada seven segment berupa angka 2 dengan logik abcdefg
0010010 sebab IC yang digunakan merupakan active low.
d. Pada baris keempat, enable LT, RBI, dan BI/RBO diberi logik 1
sehingga IC menjadi aktif. Input DCBA diberi logik 0011. Sebagaimana
logik 0011 merupakan bentuk biner dari angka 3, maka output yang
ditampilkan pada seven segment berupa angka 3 dengan logik abcdefg
0010010 sebab IC yang digunakan merupakan active low.
e. Pada baris kelima, enable LT, RBI, dan BI/RBO diberi logik 1 sehingga
IC menjadi aktif. Input DCBA diberi logik 0100. Sebagaimana logik
0010 merupakan bentuk biner dari angka decimal 4, maka output yang
ditampilkan pada seven segment berupa angka 4 dengan logik abcdefg
1001100 sebab IC yang digunakan merupakan active low.
f. Pada baris keenam, enable LT, RBI, dan BI/RBO diberi logik 1 sehingga
IC menjadi aktif. Input DCBA diberi logik 0101. Sebagaimana logik
0101 merupakan bentuk biner dari angka decimal 5, maka output yang
ditampilkan pada seven segment berupa angka 5 dengan logik abcdefg
0100100 sebab IC yang digunakan merupakan active low.
g. Pada baris ketujuh, enable LT, RBI, dan BI/RBO diberi logik 1 sehingga
IC menjadi aktif. Input DCBA diberi logik 0110. Sebagaimana logik
0110 merupakan bentuk biner dari angka decimal 6, maka output yang

8
ditampilkan pada seven segment berupa angka 6 dengan logik abcdefg
1100000 sebab IC yang digunakan merupakan active low.
h. Pada baris kedelapan enable LT, RBI, dan BI/RBO diberi logik 1
sehingga IC menjadi aktif. Input DCBA diberi logik 0111. Sebagaimana
logik 0111 merupakan bentuk biner dari angka decimal 7, maka output
yang ditampilkan pada seven segment berupa angka 7 dengan logik
abcdefg 0001111 sebab IC yang digunakan merupakan active low.
i. Pada baris kesembilan, enable LT, RBI, dan BI/RBO diberi logik 1
sehingga IC menjadi aktif. Input DCBA diberi logik 1000. Sebagaimana
logik 1000 merupakan bentuk biner dari angka decimal 8, maka output
yang ditampilkan pada seven segment berupa angka 8 dengan logik
abcdefg 0000000 sebab IC yang digunakan merupakan active low.
j. Pada baris kesepuluh, enable LT, RBI, dan BI/RBO diberi logik 1
sehingga IC menjadi aktif. Input DCBA diberi logik 1001. Sebagaimana
logik 1001 merupakan bentuk biner dari angka decimal 9, maka output
yang ditampilkan pada seven segment berupa angka 9 dengan logik
abcdefg 0001100 sebab IC yang digunakan merupakan active low.
k. Pada baris kesebelas, enable LT, RBI, dan BI/RBO diberi logik 1
sehingga IC menjadi aktif. Input DCBA diberi logik 1010. Decoder yang
digunakan hanya dapat menerjemahkan bilangan decimal, maka dari itu
ketika input sudah bukan lagi merupakan bilangan decimal, output yang
dihasilkan akan error, sehingga yang ditampilkan pada seven segment
pun bukan merupakan bilangan decimal melainkan bentuk acak. Untuk
input 1010 ini, dihasilkan output abcdefg 1110010.
l. Pada baris kedua belas, enable LT, RBI, dan BI/RBO diberi logik 1
sehingga IC menjadi aktif. Input DCBA diberi logik 1011. Output yang
dihasilkan sama seperti output yang dihasilkan pada baris kesebelas.
Yang ditampilkan pada seven segment pun bukan merupakan bilangan
decimal melainkan bentuk acak. Untuk input 1011 ini, dihasilkan output
abcdefg 1100110.

9
m. Pada baris ketiga belas, enable LT, RBI, dan BI/RBO diberi logik 1
sehingga IC menjadi aktif. Input DCBA diberi logik 1100. Output yang
dihasilkan sama seperti output yang dihasilkan pada baris kesebelas.
Yang ditampilkan pada seven segment pun bukan merupakan bilangan
decimal melainkan bentuk acak. Untuk input 1100 ini, dihasilkan output
abcdefg 1011100.
n. Pada baris keempat belas, enable LT, RBI, dan BI/RBO diberi logik 1
sehingga IC menjadi aktif. Input DCBA diberi logik 1100. Output yang
dihasilkan sama seperti output yang dihasilkan pada baris kesebelas.
Yang ditampilkan pada seven segment pun bukan merupakan bilangan
decimal melainkan bentuk acak. Untuk input 1100 ini, dihasilkan output
abcdefg 1011100.
o. Pada baris kelima belas, enable LT, RBI, dan BI/RBO diberi logik 1
sehingga IC menjadi aktif. Input DCBA diberi logik 1101. Output yang
dihasilkan sama seperti output yang dihasilkan pada baris kesebelas.
Yang ditampilkan pada seven segment pun bukan merupakan bilangan
decimal melainkan bentuk acak. Untuk input 1101 ini, dihasilkan output
abcdefg 1100110.
p. Pada baris keenam belas, enable LT, RBI, dan BI/RBO diberi logik 1
sehingga IC menjadi aktif. Input DCBA diberi logik 1111. Output yang
dihasilkan sama seperti output yang dihasilkan pada baris kesebelas.
Yang ditampilkan pada seven segment pun bukan merupakan bilangan
decimal melainkan bentuk acak. Untuk input 1111 ini, dihasilkan output
abcdefg 1111111 yang berarti mati.
q. Pada baris ketujuh belas, enable LT dan RBI diberi input X, dan BI/RBO
diberi logik 0 sehingga IC menjadi tidak aktif. Input DCBA diberi logik
bebas. Output yang dihasilkan adalah 1111111 yang berarti mati.
r. Pada baris kedelapan belas, enable LT dan RBI diberi input 1, dan
BI/RBO diberi logik 0 sehingga IC menjadi tidak aktif. Input DCBA
diberi logik 0000. Output yang dihasilkan adalah 1111111 yang berarti
mati.

10
s. Pada baris kesembilan belas, enable LT dan RBI diberi input 0, dan
BI/RBO diberi logik 1 sehingga IC menjadi aktif. Input DCBA diberi
logik bebas. Output yang dihasilkan adalah 0000000 yang berarti semua
segment menyala.

6.2 Tabel 5.2


a. Pada baris pertama, enable LT, RBI, dan BI/RBO diberi logik 1 sehingga
IC menjadi aktif. Input DCBA diberi logik 0000. Sebagaimana logik
0000 merupakan bentuk biner dari angka decimal 0, maka output yang
ditampilkan pada seven segment berupa angka 0 dengan logik abcdefg
1111110 sebab IC yang digunakan merupakan active high.
b. Pada baris kedua, enable LT, RBI, dan BI/RBO diberi logik 1 sehingga
IC menjadi aktif. Input DCBA diberi logik 0001. Sebagaimana logik
0001 merupakan bentuk biner dari angka decimal 1, maka output yang
ditampilkan pada seven segment berupa angka 1 dengan logik abcdefg
0110000 sebab IC yang digunakan merupakan active high.
c. Pada baris ketiga, enable LT, RBI, dan BI/RBO diberi logik 1 sehingga
IC menjadi aktif. Input DCBA diberi logik 0010. Sebagaimana logik
0010 merupakan bentuk biner dari angka decimal 2, maka output yang
ditampilkan pada seven segment berupa angka 2 dengan logik abcdefg
0010010 sebab IC yang digunakan merupakan active high.
d. Pada baris keempat, enable LT, RBI, dan BI/RBO diberi logik 1
sehingga IC menjadi aktif. Input DCBA diberi logik 0011. Sebagaimana
logik 0011 merupakan bentuk biner dari angka 3, maka output yang
ditampilkan pada seven segment berupa angka 3 dengan logik abcdefg
1101101 sebab IC yang digunakan merupakan active high.
e. Pada baris kelima, enable LT, RBI, dan BI/RBO diberi logik 1 sehingga
IC menjadi aktif. Input DCBA diberi logik 0100. Sebagaimana logik
0010 merupakan bentuk biner dari angka decimal 4, maka output yang
ditampilkan pada seven segment berupa angka 4 dengan logik abcdefg
0110011 sebab IC yang digunakan merupakan active high.

11
f. Pada baris keenam, enable LT, RBI, dan BI/RBO diberi logik 1 sehingga
IC menjadi aktif. Input DCBA diberi logik 0101. Sebagaimana logik
0101 merupakan bentuk biner dari angka decimal 5, maka output yang
ditampilkan pada seven segment berupa angka 5 dengan logik abcdefg
1011011 sebab IC yang digunakan merupakan active high.
g. Pada baris ketujuh, enable LT, RBI, dan BI/RBO diberi logik 1 sehingga
IC menjadi aktif. Input DCBA diberi logik 0110. Sebagaimana logik
0110 merupakan bentuk biner dari angka decimal 6, maka output yang
ditampilkan pada seven segment berupa angka 6 dengan logik abcdefg
0011111 sebab IC yang digunakan merupakan active high.
h. Pada baris kedelapan enable LT, RBI, dan BI/RBO diberi logik 1
sehingga IC menjadi aktif. Input DCBA diberi logik 0111. Sebagaimana
logik 0111 merupakan bentuk biner dari angka decimal 7, maka output
yang ditampilkan pada seven segment berupa angka 7 dengan logik
abcdefg 1110000 sebab IC yang digunakan merupakan active high.
i. Pada baris kesembilan, enable LT, RBI, dan BI/RBO diberi logik 1
sehingga IC menjadi aktif. Input DCBA diberi logik 1000. Sebagaimana
logik 1000 merupakan bentuk biner dari angka decimal 8, maka output
yang ditampilkan pada seven segment berupa angka 8 dengan logik
abcdefg 1111111 sebab IC yang digunakan merupakan active high.
j. Pada baris kesepuluh, enable LT, RBI, dan BI/RBO diberi logik 1
sehingga IC menjadi aktif. Input DCBA diberi logik 1001. Sebagaimana
logik 1001 merupakan bentuk biner dari angka decimal 9, maka output
yang ditampilkan pada seven segment berupa angka 9 dengan logik
abcdefg 1110011 sebab IC yang digunakan merupakan active high.
k. Pada baris kesebelas, enable LT, RBI, dan BI/RBO diberi logik 1
sehingga IC menjadi aktif. Input DCBA diberi logik 1010. Decoder yang
digunakan hanya dapat menerjemahkan bilangan decimal, maka dari itu
ketika input sudah bukan lagi merupakan bilangan decimal, output yang
dihasilkan akan error, sehingga yang ditampilkan pada seven segment

12
pun bukan merupakan bilangan decimal melainkan bentuk acak. Untuk
input 1010 ini, dihasilkan output abcdefg 0001101.
l. Pada baris kedua belas, enable LT, RBI, dan BI/RBO diberi logik 1
sehingga IC menjadi aktif. Input DCBA diberi logik 1011. Output yang
dihasilkan sama seperti output yang dihasilkan pada baris kesebelas.
Yang ditampilkan pada seven segment pun bukan merupakan bilangan
decimal melainkan bentuk acak. Untuk input 1011 ini, dihasilkan output
abcdefg 0011001.
m. Pada baris ketiga belas, enable LT, RBI, dan BI/RBO diberi logik 1
sehingga IC menjadi aktif. Input DCBA diberi logik 1100. Output yang
dihasilkan sama seperti output yang dihasilkan pada baris kesebelas.
Yang ditampilkan pada seven segment pun bukan merupakan bilangan
decimal melainkan bentuk acak. Untuk input 1100 ini, dihasilkan output
abcdefg 0100011.
n. Pada baris keempat belas, enable LT, RBI, dan BI/RBO diberi logik 1
sehingga IC menjadi aktif. Input DCBA diberi logik 1100. Output yang
dihasilkan sama seperti output yang dihasilkan pada baris kesebelas.
Yang ditampilkan pada seven segment pun bukan merupakan bilangan
decimal melainkan bentuk acak. Untuk input 1100 ini, dihasilkan output
abcdefg 0100011.
o. Pada baris kelima belas, enable LT, RBI, dan BI/RBO diberi logik 1
sehingga IC menjadi aktif. Input DCBA diberi logik 1101. Output yang
dihasilkan sama seperti output yang dihasilkan pada baris kesebelas.
Yang ditampilkan pada seven segment pun bukan merupakan bilangan
decimal melainkan bentuk acak. Untuk input 1101 ini, dihasilkan output
abcdefg 0011001.
p. Pada baris keenam belas, enable LT, RBI, dan BI/RBO diberi logik 1
sehingga IC menjadi aktif. Input DCBA diberi logik 1111. Output yang
dihasilkan sama seperti output yang dihasilkan pada baris kesebelas.
Yang ditampilkan pada seven segment pun bukan merupakan bilangan

13
decimal melainkan bentuk acak. Untuk input 1111 ini, dihasilkan output
abcdefg 0000000 yang berarti mati.
q. Pada baris ketujuh belas, enable LT dan RBI diberi input X, dan BI/RBO
diberi logik 0 sehingga IC menjadi tidak aktif. Input DCBA diberi logik
bebas. Output yang dihasilkan adalah 1111111 yang berarti mati.
r. Pada baris kedelapan belas, enable LT dan RBI diberi input 1, dan
BI/RBO diberi logik 0 sehingga IC menjadi tidak aktif. Input DCBA
diberi logik 0000. Output yang dihasilkan adalah 0000000 yang berarti
mati.
s. Pada baris kesembilan belas, enable LT dan RBI diberi input 0, dan
BI/RBO diberi logik 1 sehingga IC menjadi aktif. Input DCBA diberi
logik bebas. Output yang dihasilkan adalah 1111111 yang berarti semua
segment menyala.

7. Tugas
1. Perbedaan seven segment common anoda dan common cathode terletak
pada cara menyalakannya. Hal ini disebabkan oleh letak penyusunan
LED. Pada common anoda, LED disusun menggunakan anoda bersama.
Sementara pada common cathode, LED disusun menggunakan katoda
bersama. Dalam hal ini, untuk menyalakan LED pada CA maka
dibutuhkan input logik 1 sedangkan untuk menyalakan LED pada CC
dibutuhkan input logik 0.
2. Rangkaian Decoder BCD to seven segment dengan gerbang logika:

14
3. Perbedaan antara IC 7447 dan IC 7448 terletak pada peruntukannya. IC
7447 digunakan untuk driver 7 segment common anoda sedangkan IC
7448 digunakan untuk driver dispaly 7 segment common cathode.
Masukan pada BCD IC 7447 diaktifkan input logik 1 dan keluaran dari
dekodernya adalah aktif low. Sementara IC 7448 adalah kebalikannya.

8. Kesimpulan
Dari percobaan ini dapat disimpulkan bahwa:
1. BCD Decoder to seven segment bekerja untuk bilangan decimal.
2. IC 7447 digunakan untuk driver seven segment common anoda dengan
keluaran aktif low sedangkan IC 7448 digunakan untuk driver seven
segment common cathode dengan keluaran aktif high.

15
DAFTAR PUSTAKA

Admin. 2009. Fungsi dan Penjelasan Decoder BCD to Seven Segment 74LS47.
www.tutorial-elektronika.blogspot.com [diakses 15 Oktober 2018]

Dickson Kho. Pengertian Seven Segment Display. www.teknikelektronika.com


[diakses 15 Oktober 2018]

16
LAMPIRAN

17
18
19
20
21

Anda mungkin juga menyukai