Anda di halaman 1dari 7

Pemrograman VHDL

Process and Sensitivity List

1/
DEFINISI
Aturan dan Contoh
Sensitivity list : suatu daftar sinyal, dimana perubahan nilai pada satu
atau lebih dari sinyal menyebabkan proses diaktifkan

Contoh:

3/
• Aktivasi dan penangguhan proses dapat dikontrol melalui
pernyataan tunggu:

Contoh :

4/
Note:
• Suatu proses tidak dapat memiliki daftar sensitivitas dan
pernyataan tunggu
• Suatu proses dapat berisi pernyataan berurutan apa pun.
• “Clocked Process" dengan pernyataan wait atau sensitivity
list, maka register merupakan hasil dari semua sinyal yang
bekerja.
• Contoh :

5/
• "Proses kombinasional" harus memiliki daftar sensitivitas yang
berisi semua sinyal yang dibaca (input), dan harus selalu
memperbarui sinyal yang diberikan (output)
• Contoh :

6/
Output:

Anda mungkin juga menyukai