Genap 2007/2008
Lab. 2
Rangkaian Substract 2’s complement
dan BCD pada Spartan 3 FPGA Board
Materi Lab.2 :
Target :
Tujuan :
Syarat :
Persiapan Lab. :
1. Review Materi mata kuliah Rangkaian Logika, Sistem Digital dan Interfacing.
4. Pelajari dan siapkan Spartan-3 Starter Kit Board User Guide (ug130.pdf)
Perangkat Lab. :
Perangkat Jumlah
Free software ISE webpack (www.Xilinx.com) 1
Free software Model sim 1
PC dan FPGA board 1 set
Lab. Informatika Digital (B.401)
Lab. 2 Percobaan Interfacing/Embeded System Smt. Genap 2007/2008
8–2=… 17 – 14 = … 35 – 22 = …
5–7=… 9 – 12 = … 27 – 37 = …
Prosedure percobaan :
2. Buatlah file baru dengan nama twos_1bit.vhd dan tulis code berikut :
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
entity Twos_C1 is
port( A, B, Ci, sign : in bit;
S, CO : out bit
);
end entity;
3. Compile file tersebut. Dan lanjutkan dengan membuat file baru dengan
nama twos_4bit.vhd dan tulis code berikut :
library IEEE;
use IEEE.std_logic_1164.all;
use ieee.std_logic_arith.all;
entity Twos_C4 is
port( a, b : in bit_vector (3 downto 0);
cin : in bit;
sum : out bit_vector (3 downto 0);
cout: out bit
);
end Twos_C4;
4. Compile file tersebut. Buatlah file baru dengan nama twos_tb.vhd dan tulis
code berikut :
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
entity testtw4 is
end testtw4;
component Twos_C4
port(a, b : in bit_vector (3 downto 0);
cin : in bit;
sum : out bit_vector (3 downto 0);
cout: out bit
);
end component;
begin
B <= "0001", "0101" after 100 ns, "0101" after 200 ns, "0111" after
300 ns, "0101" after 400 ns, "0011" after 500 ns, "0011" after 600 ns,
"0001" after 700 ns;
A <= "0100", "0111" after 100 ns, "0110" after 200 ns, "0101" after
300 ns, "0010" after 400 ns, "0101" after 500 ns, "0110" after 600 ns,
"0111" after 700 ns;
Cin <= '0', '1' after 50 ns;
C1 : Twos_C4 port map(A,B,Cin,S,Cout);
end behavioral;
3. Pada form simulasi klik kanan testtw pada workspace. Pilih add => add to
wave
4. Pada Wave Form pilih run All. Lihat tombol yang dilingkari pada Fig. 3
Tugas :
3. Gunakan gambar pada wave simulator model sim untuk analisa laporan
anda.
Tujuan :
Persiapan :
1. Dari code BCD berikut buatlah file Testbench BCD_tb.vhd untuk simulasi
pada modelsim.
Prosedure :
1. Lakukan prosedur lab. 1.2. pada lab.2.2. dengan menuliskan code berikut :
library ieee;
use ieee.std_logic_1164.all;
entity bcd is
port( Bin : in std_logic_vector(2 downto 0);
en : out std_logic;
seg : out std_logic_vector(6 downto 0)
);
end entity;
2. Tentukan pin-pin dari input dan output code BCD tersebut. Input Bin dari
switch dan output seg ke 7 segmen AN0 (Baca ug130.pdf untuk mengetahui
address pin masing-masing input dan output).
Tugas :
4. Gunakan gambar pada wave simulator model sim untuk analisa laporan
anda.