Anda di halaman 1dari 2

Lab. 4 Percobaan Interfacing/Embeded System Smt.

Genap 2007/2008

Lab. 4
Akses VGA dan FSM pada
Spartan 3 FPGA Board

Materi Lab.4 :

Pada percobaan ini akan dipelajari implementasi akses VGA 8 bit dan perangkat
I/O lainnya.

Target :

Mahasiswa mampu mengmensimulasikan contoh code yang sudah ada dan


mampu mengimplementasikan FSM ke code VHDL.

Tujuan :

1. Mensimulasikan code dengan perangkat lunak Modelsim

2. Mengimplementasikan code pada Spartan 3 FPGA board.

Syarat :

1. Mahasiswa sudah paham cara menggunakan ISE dan Spartan 3 board.

2. Mahasiswa sudah memiliki kemampuan dasar pemrograman VHDL.

Persiapan Lab. :

1. Review Materi mata kuliah Rangkaian Logika, Sistem Digital dan Interfacing.

2. Pelajari tentang dasar perograman VHDL (VHDL Cookbook).

3. Pelajari dan siapkan Spartan-3 Starter Kit Board User Guide (ug130.pdf)

4. Pelajari dan siapkan tutorial penggunaan Modelsim.

Perangkat Lab. :

Perangkat Jumlah
Free software ISE webpack (www.Xilinx.com) 1
Free software Model sim 1
Monitor VGA 1
PC dan FPGA board 1 set

Lab. 4.1. Simulasi Code VGA

Sebelum melakukan percobaan jelaskan tentang cara akses VGA seperti pada
manual UG130.pdf sebagai laporan pendahuluan

Kumpulkan jawaban saudara saat akan memulai Lab. 4.1.

Prosedure percobaan :

Lab. Informatika Digital (B.401)


Lab. 4 Percobaan Interfacing/Embeded System Smt. Genap 2007/2008

1. Bukalah project S3demo (bisa dicopy di \\10.122.1.38 folder s3demo)

2. tentukan pin-pin dari I/O project tersebut pada file Ucf.

3. simulasikan project tersebut.

Tugas :

1. Pada Synthesize -> View RTL Schematic. Jelaskan pendapat anda.

2. Buka juga view synthesize report, jelaskan analisa anda.

Lab. 4.2. Traffic Light FSM

Tujuan :

1. Mengimplementasikan FSM pada Model SIm.

2. Mengimplementasikan FSM pada FPGA.

Persiapan :

1. Buatlah State diagram (FSM) program traffic light.

2. Buatlah truth table dan persamaannya.

3. Implementasikan dalam code VHDL.

4. Buatlah File testbench dari code anda. Dan simulasikan dengan ModelSim

5. Lampirkan pada laporan pendahuluan persiapan 1- 4. Juga lampirkan


keluaran file testbench tersebut dari wave form modelsim.

Prosedure :

1. Implementasikan code anda dengan Spartan 3 dan simulasikan dengan


FPGA Board.

Tugas :

1. Buka juga view synthesize report, jelaskan analisa anda.

2. Gunakan gambar pada wave simulator model sim untuk analisa laporan
anda

Lab. Informatika Digital (B.401)

Anda mungkin juga menyukai