Anda di halaman 1dari 19

Flip – Flop

1. Tujuan Percobaan
 Mengamati prinsip kerja Flip-Flop
 Mengamati sifat-sifat flip-flop yang diseuaikan dengan table
kebenaran

2. Teori Dasar
Flip-flop adalah komponen bistable yang tidak sinkron. Istilah tidak sinkron
arinya keluaran akan berubah keadaan hanya jika terjadi pemicuan pada input
clocknya. Rangkaian internal suatu flip-flop terdiri dari beberapa gerbang
logika, sedangkan gerbang logika terdiri komponen dasar seperti transistor,
resistor dan dioda.
Dalam sistem komputer flip-flop berfungsi sebagai elemen memori, yaitu
piranti yang dapat menyimpan data biner.Dibawah ini adalah gambaran umum
flip-flop pada umumnya
 J-K Flip-Flop dengan Clock

Jenis flip-flop ini mempunyai 3 sinyal masukan, yaitu clock, J, dan K.


Gambar dibawah adalah simbol JK-FF janis transisi naik, artinya keluarannya
akan berubah hanya pada saat terjadi transisi naik dari pulsa clock yang
diberikan.
Tabel benarannya diberikan pada Tabel dibawah ini . Inpus J dan K berfungsi
menentukan kondisi keluaran seperti fungsi S dan R pada SR-FF yang telah
dibahas sebelumnya.
Diagram waktu pada Gambar diatas adalah illustrasi bagaimana flip-flop ini bekerja
dengan analisa sebagai berikut.
a) Pada kondisi awal semua input adalah 0, dan output Q=1
b) Pada saat transisi naik pulsa clock pertama (titik a), dimana J=0, K=1, maka
kondisi ini menyebabkan keadaan reset sehingga Q=0.
c) Pada saat transisi naik pulsa clock kedua (titik c), dimana J=K=1(toggle),
kondisi ini merubah keadaan Q menjadi 1.
d) Pada saat transisi naik pulsa clock ketiga (titik e), dimana J=K=0, kondisi ini
tidak merubah keadaan Q sehingga tetap 1.
e) Pada saat transisi naik pulsa clock keempat( titik g), dimana J = 1 sementara
K = 0, kondisi ini mengakibatkan Q=1.
f) Pada saat transisi naik pulsa clock kelima (titik i), dimana J=K=1 (toggle)
sehingga Q berubah melawan kondisi semula (berubah ke 0).
g) Pada saat transisi naik pulsa clock keenam (titik k), dimana J=K=1 (toggle)
sehingga Q berubah melawan kondisi semula (berubah ke 1).

Dari uraian di atas, perlu diperhatikan bahwa pada transisi turun pulsa clock
tidak berpengaruh pada keluaran flip-flop.

Gambar dibawah ini diberikan jenis transisi turun, yang ditandai dengan adanya
bundaran kecil pada terminal clocknya. Tabel benaranya diberikan dibawah ini
D-Flip-Flop dengan Clock

D-flip-flop sering juga disebut flip-flop data dengan operasi yang lebih
sederhana. Mempunyai satu input disamping clock dan dua output. Flip-flop
ini sangat berguna untuk menyimpan imformasi berupa data biner 0 atau 1.
Cara kerja nya adalah memindahkan data yang ada pada terminal input D ke
output Q saat terjadi transisi pada clock . Terdapat dua jenis, yaitu D-FF
transisi naik dan D-FF Transisi turun. Tabel benaran untuk jenis transisi naik
diberikan pada Tabel dibawah ini
Diagram waktu pada Gambar diatas adalah illustrasi bagaimana flip-flop ini bekerja
dengan analisa sebagai berikut.
a) Pada kondisi awal output Q=1
b) Pada saat transisi naik pulsa clock pertama (titik a), dimana D=0, maka Q
berubah menjadi 0.
c) Pada saat transisi naik pulsa clock kedua (titik b), dimana D=1, maka Q
berubah menjadi 1.
d) Pada saat transisi naik pulsa clock ketiga (titik c), dimana D=0, maka Q
berubah menjadi 0.
e) Pada saat transisi naik pulsa clock keempat (titik d), dimana D=1, maka Q
berubah menjadi 1.
f) Pada saat transisi naik pulsa clock kelima (titik e), dimana D=1, maka Q
berubah tetap 1.

3. Alat dan Bahan


 Laptop
 Modul Quartus II
 Kabel Power
 Kabel USB Blazter
4. Langkah Kerja
“Cara Membuat New PROJECT”
I. Buka Aplikasi Quartus II
II. Pilih “File” , Kemudian Pilih “New Project Wizard”
III. Akan muncul “Introduction “ ,Lalu pilih next ,Kemudian akan muncul
form seperti dibawah ini. Pada form ini fungsinya untuk mengatur tempat
penyimpanan file .Disini nama project harus sama dengan nama
File/Folder tempat penyimpanannya. Setelah itu klik “Next”

IV. Tahap selanjutnya yaitu pada bagian “Family & Device Setting” ,Pada
bagian ini yang diatur adalah Family nya diubah menjadi “Clyclone II”
dan Device nya dengan nama”EP2C35F672C6” ,Lalu klik Next
V. Maka akan muncul tampilan seperti ini , Lalu klik “Finish”
D Flip-Flop

VI. Setelah muncul ketampilan awal Software Quartus II ,Kemudian klik


New. Dan pilih “Verilog HDL File” .Dapat dilihat seperti Form dibawah
ini

VII. Setelah itu akan muncul form seperti dibawah ini , yang fungsinya
untuk mengisi Script .
VIII. Setelah mengisi Script , Pilih “Star Compile” , Apabila Script yang telah
dituliskan benar. Maka akan muncul “FULL COMPILATION WAS
SUCCESSFUL” .Lalu klik OK
IX. Setelah di compile, Selanjutnya pilih “Pin Planner” yang mana fungsinya
untuk memberi kode pada pin .Dapat dilihat sepert form dibawah ini

X. Setelah mengisi “Pin Planer” , .Mengcompile ulang dan Kemudian Pilih


“Programmer”. Kemudain klik “HardWare Setup” .Selanjutnya Klik dua
kali USB-Blaster . Kemudian “Close”.
XI. Jangan Lupa hubungkan Laptop dengan Module Quartus II , melalui
Kabel USB-Blazter
Membuat Desain DI-QSIM

a) Membuka aplikasi Q-Sim yang diambil dari mengcopy shortcut


aplikasi Quartus II
b) Akan muncul tampilan seperti dibawah

c) Selanjutnya klik “File” lalu pilih Open dan mencari file nya sesuai tempat
anda meyimpan file flip flop anda “D:\tugas Altera DFlipFlop DFlipFlop.v”
d) Kemudian klik “ File “ dan pilih “ New Simulation Inpit File”
e) Selanjutnya pilih “edit “ Kemudian “ insert “ dan pilih “insert node or
bus”
f) kemudian pilih “ Node Finder”., Lalu pilh “List” sehingga Akan terlihat
seperti form dibawah ini

g) Kemudian pilih tanda “>>” yang artinya memindahkan semua isi


Nodus Founds ke Selected Nodes
h) Akan muncul tampilan seperti gambar dibawah ini
i) Klik masing –masing Name untuk mengatur konfigurasi Kloknya
dengan cara Pilih “configurasi overwrite clock” dan mengaturnya
seperti dibawah ini

j) Setelah itu atur semua input dan uoutput sesuai dengan instruksi
k) Kemudian pilih “Play Simulation” ,Apabila simulasi berhasil akan
muncul tulisan “ Complecatin was Successful”
l) Kemudian pilih Assign , Lalu pilih Browser ,Kemudian cari tempat
penyimpanan seperti diatas ,sehingga muncul seperti form dibawah ini

m) Setelah itu , “Star simulation”


Membuat Clock Divider

1.1 Membuat New project , cara membuatnya telah dijeskan pada saat membuat
project untuk DFlipFlop , sedangkan pada project yang berbeda yaitu dengan
memberikan nama “Clock Divider”

1.2 Setelah itu akan muncul form seperti dibawah ini , yang fungsinya untuk
mengisi Script .

1.3 Setelah mengisi Script , Pilih “Star Compile” , Apabila Script yang telah
dituliskan benar. Maka akan muncul “FULL COMPILATION WAS
SUCCESSFUL” .Lalu klik OK
1.4 Setelah di compile, Selanjutnya pilih “Pin Planner” yang mana fungsinya
untuk memberi kode pada pin
1.5 Setelah mengisi “Pin Planer” , .Mengcompile ulang dan Kemudian Pilih
“Programmer”. Kemudain klik “HardWare Setup” .Selanjutnya Klik dua kali
USB-Blaster . Kemudian “Close”.
1.6 Jangan Lupa hubungkan Laptop dengan Module Quartus II , melalui Kabel
USB-Blazter

1.7 Setelah itu klik “Close” . Dan Apabila telah muncul seperti Form dibawah ini
klik “Start” untuk memulai programnya
Membuat blok diagram dari penggabungan 2 file yang telah dibuat diatas

A. Membuat project baru lalu diberi nama “DFlipFlop2”


B. Setelah itu menambahkan (ADD) 2 file diatas dengan cara mengklik “ADD”
lalu mencari tempat menyimpan file
C. Tahap selanjutnya yaitu pada bagian “Family & Device Setting” ,Pada
bagian ini yang diatur adalah Family nya diubah menjadi “Clyclone II” dan
Device nya dengan nama”EP2C35F672C6” ,Lalu klik Next

D. Selanjutnya pilih skematik seperti Form dibawah ini


LAPORAN PRAKTIKUM

RANGKAIAN TERINTEGRASI

“FLIP-FLOP”

OLEH :

NAMA : WAFIQ AZIZA

NIM : 323 17 047

KELAS : 2B Teknik Elektronika

PROGRAM STUDI D3 TEKNIK ELEKTRONIKA

JURUSAN TEKNIK ELEKTRO

POLITEKNIK NEGERI UJUNG PANDANG

Anda mungkin juga menyukai