Anda di halaman 1dari 17

TRAFFIC LIGHT JALAN SIMPANG

TIGA
1. LATAR BELAKANG
Pada umumnya, tujuan dari dibuatnya proyek ini
adalah

untuk

menciptakan

membuat

suatu

rangkaian

logika

yang

sesuatu yang berguna. Untuk menampakkan

hasil, proyek ini akan mensimulasikan suatu kondisi Traffic


Ligft Simpang Tiga.
Ada banyak kondisi yang bisa terjadi di Traffic Light
Simpang Tiga ini yang merupakan kasus menarik untuk diuji.
Di dalam proyek ini, kita akan melihat suatu pengontrol yang
dirancang sedemikian rupa untuk mengendalikan lalu lintas
di suatu persimpangan, khususnya di simpang tiga.

2. DEFINISI MASALAH
2.1.

Persimpangan
Di bawah ini digambarkan suatu persimpangan tiga

dilengkapi dengan traffic light-nya :

U
B

T
S

2. 2 Kondisi Traffic
Pada

proyek

ini,

diasumsikan

adanya

suatu

persimpangan tiga yang sangat padat . oleh karena itu,


dibuatlah sutu traffic light yang dapat mengatur jalur lalu
lintas, baik itu untuk kendaraan maupun para pejalan kaki
yang akan meyeberang.
Berikut ini adalah kondisi yang mungkin terjadi di
persimpangan tiga tersebut:

Kondisi 1

:Kendaraan

bergerak

dari

barat

ke

timur (Jalur 1)
Kondisi 2

:Kendaraan dari selatan belok kanan

(Jalur 2)
Kondisi 3

:Penyeberangan

untuk

pejalan

kaki

(Jalur Penyeberangan)
Semua

kondisi

di

atas

yang

mungkin

terjadi

disimulasikan pada periode waktu tertentu.


2. 3 Aksi Traffic Light
Traffic Light di persimpangan tiga tersebut akan
beraksi sebagai berikut:
Aksi 1

: Lampu hijau menyala untuk kondisi 1 dan lampu


merah untuk kondisi lainnya

Aksi 2

: Lampu hijau menyala untuk kondisi 2 dan lampu


merah untuk kondisi lainnya

Aksi 3

: Lampu hijau menyala untuk kondisi 3 dan lampu


merah untuk kondisi lainnya

3. DEFENISI DARI VARIABEL YANG DIGUNAKAN


Pada proyek ini, dengan melihat kondisi traffic dan
aksi traffic light maka terdapat 1 buah input dan 2 buah
output:
INPUT :
D=1

D=0
OUTPUT:
GA = 1 = Lampu hijau menyala untuk jalur 1
YA = 1 = Lampu kuning menyala untuk jalur 1
RA = 1 = Lampu merah menyala untuk jalur 1
GB = 1 = Lampu hijau menyala untuk jalur 2
YB = 1 = Lampu kuning menyala untuk jalur 2
RB = 1 = Lampu merah menyala untuk jalur 2
GC = 1 = Lampu hijau menyala untuk jalur
ppenyeberangan
RC = 1 = Lampu merah menyala untuk jalur
penyeberangan
4. DEFENISI STATE
Adapun

defenisi

dari

state-state

yang

terjadi

adalah sebagai berikut:


State 0 :

Lampu

merah

untuk

semua

jalur.

Merupakan kondisi aman setelah PLN off.


State 1 :

Lampu hijau untuk jalur 1 dan lampu

merah untuk jalur 2 dan jalur penyeberangan.


State 2 :

Lampu hijau untuk jalur 1 dan lampu

merah untuk jalur 2 dan jalur penyeberangan.


State 3 :

Lampu hijau untuk jalur 1 dan lampu

merah untuk jalur 2 dan jalur penyeberangan.


State 4 :

Lampu kuning untuk jalur 1 dan lampu

merah untuk jalur 2 dan jalur penyeberangan.

State 5 :

Lampu hijau untuk jalur 1 dan lampu

merah untuk jalur 2 dan jalur penyeberangan.


State 6 :

Lampu hijau untuk jalur 2 dan lampu

merah untuk jalur 1 dan jalur penyeberangan.


State 7 :

Lampu hijau untuk jalur 2 dan lampu

merah untuk jalur 1 dan jalur penyeberangan.


State 8 :

Lampu kuning untuk jalur 2 dan lampu

merah untuk jalur 1 dan jalur penyeberangan.


State 9 :

Lampu hijau untuk jalur peneyeberangan

dan lampu merah untuk jalur 1 dan jalur 2.


State 10

Lampu

hijau

untuk

jalur

penyeberangan dan lampu merah untuk jalur 1 dan


jalur 2
State 11

Lampu

hijau

untuk

jalur

penyeberangan dan lampu merah untuk jalur 1 dan


jalur 2.
Pada state diatas, kita melihat ada beberapa state
yang sama. Hal ini disebabkan karena perancang telah
mengatur

timing

untuk

masing-masing

kondisi.

Misalnya, untuk kondisi 1, 2, dan 3, timingnya diset 3


kali lebih lama dibandingkan dengan pada saat lampu
kuning menyala di setiap traffic light.
Berikut adalah gambaran dari kesebelas state di
atas:

5. STATE TRANSISI DIAGRAM

LEGEND :
STATE
QaQbQc
Jenis Flip-flop yang digunakan adalah JK Flip-Flop

6. TABEL KEBENARAN
6.1 Tabel Eksitasi

Output Flip-Flop
Present State
Next State
Q Q
Q
QB QC QD
A
D
QA
QD
+
+
+
+

Input JK Flip-Flop
A
B
C
D
K
K
J A KA J B
JC
JD KD

0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
1
1
1
1
1
1
1

0
0
0
0
0
0
0
0
1
1
1
1
1
1
1
1
0
0
0
0
0
0
0

0
0
0
0
1
1
1
1
0
0
0
0
1
1
1
1
0
0
0
0
1
1
1

0
0
1
1
0
0
1
1
0
0
1
1
0
0
1
1
0
0
1
1
0
0
1

0
1
0
1
0
1
0
1
0
1
0
1
0
1
0
1
0
1
0
1
0
1
0

0
1
0
0
0
0
0
0
0
0
0
0
0
0
1
1
0
1
1
1
1
1
0

0
0
0
0
0
0
1
1
1
1
1
1
1
1
0
0
0
0
0
0
0
0
0

0
0
1
1
1
1
0
0
0
0
1
1
1
1
0
0
0
0
1
1
1
1
0

1
1
0
0
1
1
0
0
1
1
0
0
1
1
0
0
1
1
0
0
1
1
0

0
1
0
0
0
0
0
0
0
0
0
0
0
0
1
1
X
X
X
X
X
X
X

X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
1
0
0
0
0
0
1

0
0
0
0
0
0
1
1
X
X
X
X
X
X
X
X
0
0
0
0
0
0
0

X
X
X
X
X
X
X
X
0
0
0
0
0
0
1
1
X
X
X
X
X
X
X

0
0
X
1
X
X
X
X
0
0
1
1
X
X
X
X
0
0
1
1
X
X
X

X
X
0
X
0
0
1
1
X
X
X
X
0
0
1
1
X
X
X
X
0
0
1

1
1
X
X
X
1
X
X
1
1
X
X
1
1
X
X
1
1
X
X
1
1
X

X
X
1
1
1
X
1
1
X
X
1
1
X
X
1
1
X
X
1
1
X
X
1

6. 2 Tabel Output Traffic Light

Output Traffic Light


Output Flip-Flop
QA
0

QB
0

QC
0

QD
0

Penyeberang

Lalu-lintas
GA
0

YA
0

RA
1

GB
0

an

YB
0

RB
1

GC
0

RC
1

0
0
0
0
0
0
0
1
1
1
1

0
0
0
1
1
1
1
0
0
0
0

0
1
1
0
0
1
1
0
0
1
1

1
0
1
0
1
0
1
0
1
0
1

1
1
1
0
0
0
0
0
0
0
0

0
0
0
1
0
0
0
0
0
0
0

0
0
0
0
1
1
1
1
1
1
1

0
0
0
0
1
1
1
0
0
0
0

0
0
0
0
0
0
0
1
0
0
0

1
1
1
1
0
0
0
0
1
1
1

0
0
0
0
0
0
0
0
1
1
1

1
1
1
1
1
1
1
1
0
0
0

7. PENYEDERHANAAN FUNGSI BOOLEAN


7.1

Penyederhanaan

Fungsi

Boolean

dan

Diagram Gerbang Logika Dari JK Flip Flop


7.1.1 JA = BCDE + BCD

7. 1 .2 KA = CDE + CDE

Gambar

7. 1. 3 JB = ACD

7. 1. 4 KB = CD

7. 1. 5 JC = D
7. 1. 6 KC = ACD + CDE

10

7. 1. 7

JD = 1

7. 1. 8

KD = ACE

7. 2

Penyederhanaan Fungsi Boolean dan Gambar

Diagram Gerbang Logika dari Output Traffic Light


7. 2. 1 GA = ABC + ABD

11

7 2. 2

YA = BCD

7.2.3 RA = BCD + BC + BD + A

12

7. 2. 4 GB = BC + BD

7.2.5 YB = ACD

7.2.

6 RB = AB + ACD + BC + BD

13

7.2. 7 GC = AC + AD

7.2.8 RC = A + CD

8. SKEMA DIAGRAM DARI RANCANGAN AKHIR

14

8.1.

Menggunakan Simulasi Elektronic Workbench

15

8. 2

Gambar Bagan Diagram Logika Akhir Perancangan

Sistem
D

Clock Clear

GA Y A RA GB YB

RB GC RC

CLOCK
TRF- CTR
CLEAR

9. SIMULASI
Pengontrolan Traffic Light ini dapat dilihat dengan
simulasi pada program Elektronic Workbench. Seluruh
kemungkinan
intersection

keadaan
dapat

yang

diuji

untuk

terjadi

pada

bagian

mengetahui

bahwa

pengontrolan bekerja sebagaimana mestinya.

10.

KESIMPULAN

16

Desain ini memperlihatkan sebuah Se, dimana


Moore Machine diperoleh dari gerbang logika, bekerja dan
mengontrol Trffic Light penyeberangan.

17

Anda mungkin juga menyukai