Anda di halaman 1dari 20

LAPORAN AKHIR

PRAKTIKUM ELEKTRONIKA II

BCD SEVEN SEGMENT

Nama
NPM
Rekan Kerja
Kelompok
Hari
Tanggal
Modul ke

: M.Fauzi Reza
: 1406529241
: Ananta Rizki Fareza
:5
: Senin
: 2 Mei 2016
:6

Depok
2016

BCD SEVEN SEGMENT

A. TUJUAN
Dalam praktikum kali ini mahasiswa diharapkan dapat merancang up-down Binary ke
Desimal (BCD) Counter berurutan.
B. ALAT DAN BAHAN
ZYBO Zync7000
Micro-USB power usb cable
Software Vivado
PC /Laptop 64 bit ,
C. TEORI DASAR
Display 7 segment merupakan komponen yang berfungsi sebagai penampil karakter
angka dan karakter huruf. Display 7 segment sering juga disebut sebgai penampil 7 ruas.
Pada display 7 segment juga dilengkapi karakter titik (dot) yang sering dibutuhkan untuk
karakter koma atau titik pada saat menampilkan suatu bilangan. Display 7 segment terdiri
dari 7 penampil karakter yang disusun dalam sebuah kemasan sehingga dapat
menampilkan karakter angka dan karakter huruf. Terdapat 7 buah penampil dasar dari
LED (Light Emiting Diode) yang dinamakan karakter A-F dan karakter dot. Bentuk
susunan karakter penampil karakter A-F pada display 7 segmen dapat dilihat pada gambar
berikut.

Gambar 1. Bentuk Susunan display 7 segment

Pada dasarnya penampil 7 segment merupakan rangkaian 7 buah dioda LED (Light
Emiting Diode). Terdapat 2 (dua) jenis rangkaian dasar dari display 7 segment yang
dikenal sebagai display 7 segment common anoda (CA) dan common cathoda (CC). Pada
display common anoda untuk mengaktifkan karakter display 7 segment diperlukan logika
low (0) pada jalur A-F dan DP dan sebaliknya untuk display 7 segment common cathoda
(CA). Rangkaian internal display 7 segment common anoda dan common cathoda(CC)
dapat dilihat pada gambar berikut

Gambar 2. Rangkaian Internal Display 7 Segment Common Andoa

Gambar 3. Rangkaian internal Display 7 Segment Common Katoda


Rangkaian LED seperti pada gambar diatas disusun sedemikian rupa sehingga
membentuk display 7 segment yang dapat menampilkan karakter angka dan huruf.
Karena hanya terdiri dari 7 bagian (7 ruas) maka tampilan huruf yang dihasilkan dispaly 7
segment tidak dapat menampilkn karakter huruf secara lengkap a-z, akan tetapi dalam
aplikasi rangkaian elektronika karakter huruf yang sering ditampilkan oleh display 7
segment adalah karakter A-F saja. Display 7 segment dapat menamplikan karakter angka
desimal 0 9 yang dapat dilihat pada gambar berikut.

Gambar 4. Karakter Angka Pada Display 7 Segment

Dekoder BCD ke 7 segment jenis TTL adalah rangkaian yang berfungsi untuk
mengubah kode bilangan biner BCD (Binary Coded Decimal) menjadi data tampilan
untuk penampil/display 7 segment yang bekerja pada tegangan TTL (+5 volt DC). Dalam
artikel ini dekoder BCD ke 7 segmen yang digunakan adalah jenis TTL. Decoder BCD ke
7 segmen jenis TTL ada beberapa macam diantaranya keluarga IC TTL 7447 dan
keluarga IC TTL 7448. Kedua IC TTL: tersebut memiliki fungsi yang sama namun
peruntukannya berbeda IC 7447 digunakan untuk driver 7 segment common anoda
sedangkan IC 7448 digunakan untuk driver dispaly 7 segment common cathode. IC
dekoder BCD ke 7 segment sering juga dikenal sebagai driver display 7 segment karena
selalu digunakan untuk memberikan driver sumber tegangan ke penampil 7 segment.
Konfigurasi Pin IC Dekoder BCD Ke 7 Segmen 7447 Dan 7448

Jalur input data BCD ,pin input ini terdiri dari 4 line input yang mewakili 4 bit
data BCD dengan sebutan jalur input A,B,C dan D.

Jalur ouput 7 segmen, pin output ini berfungsi untuk mendistribusikan data
pengkodean ke penampil 7 segmen. Pin output dekoder BCD ke 7 segmen ini ada
7 pin yang masing-masing diberi nama a, b, c, d, e, f dan g.

Jalur LT (Lamp Test) yang berfunsi untuk menyalakan semua led pada
penampil 7 segmen, jalur LT akan aktif pad saat diberikan logika LOW pad jalut
LT tersebut.

Jalur RBI (Riple Blanking Input) yang berfungsi untuk menahan sinyal input
(disable input), jalur RBI akan aktif bila diberikan logika LOW.

Jalur RBO (Riple blanking Output) yang berfungsi untuk menahan data
output ke penampil 7 segmen (disable output), jalur RBO ini akan aktif pada sat
diberikan logika LOW.

Dalam aplikasi decoder, ketiga jalur kontorl (LT, RBI dan RBO) harus diberikan logika
HIGH dengan tujuan data input BCD dapat masuk dan penampil 7 segmen dapat
menerima data tampian sesuai data BCD yang diberikan pada jalur input.

Gambar 1. Rangkaian Aplikasi Dekoder BCD Ke 7 Segmen Common Anoda (IC 7447)

Gambar 2. Rangkaian Aplikasi Dekoder BCD Ke 7 Segmen Common Cathoda (IC 7448)

Untuk aplikasi yang terlihat pada kedua gambar diatas adalah teknik driver penampil 7
segmen standar menggunakan decoder BCD ke 7 segmen TTL IC 7447 dan IC 7448.
Fungsi resistor pada setiap jalur output dekoder BCD ke 7 segmen tersebut adalah sebagai
pembatas arus maksimum yang mengalir pada LED penampil 7 segmen dan arus yang
mengalir pada IC dekoder BCD ke 7 segmen yang digunakan dimana arus maksimum
yang diperbolehkan maksimum 20mA.

D.Prosedur
1.Membuat kode VHDL yang mengimplementasikan gambar dibawah ini:

2.Menggunakan pmod B dan pmod C sebagai output


3.Menjalankan syntesize dan implementation
4 Memburn kode VHDL tersebut ke dalam ZYBO
E. TUGAS PENDAHULUAN
1. Apa perbedaan common catode dan common anode dan rangakain keduanya beserta
penjelasannya !
Jawab: Pada LED 7 Segmen jenis Common Cathode (Katoda), Kaki Katoda pada semua
segmen LED adalah terhubung menjadi 1 Pin, sedangkan Kaki Anoda akan menjadi Input
untuk masing-masing Segmen LED. Kaki Katoda yang terhubung menjadi 1 Pin ini
merupakan Terminal Negatif (-) atau Ground sedangkan Signal Kendali (Control Signal)
akan diberikan kepada masing-masing Kaki Anoda Segmen LED.Sedangkan Pada LED 7
Segmen jenis Common Anode (Anoda), Kaki Anoda pada semua segmen LED adalah
terhubung menjadi 1 Pin, sedangkan kaki Katoda akan menjadi Input untuk masingmasing Segmen LED. Kaki Anoda yang terhubung menjadi 1 Pin ini akan diberikan

Tegangan Positif (+) dan Signal Kendali (control signal) akan diberikan kepada masingmasing Kaki Katoda Segmen LED.
2. Membuat table kebenaran seven segmen pada common catode
Input

Output

F.Simulasi
Truth Table seven segment
Input

Output

Function or
Desimal

LT

RBI RBO D

Dimana X adalah optonal bisa 1 atau 0 dan truth table diatas berlaku untuk
sampai hitungan 9.
G.Refrensi
http://staffweb.worc.ac.uk/DrC/Courses%202006-7
http://www.zeepedia.com/read.php?b=9&c=17
http://home.agh.edu.pl/~ostrowsk/teksty/74ls47.pdf

Laporan Akhir
I.Data pengamatan
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
--library UNISIM;
--use UNISIM.VComponents.all;
entity bcd is
Port ( A : in STD_LOGIC;
B : in STD_LOGIC;
C : in STD_LOGIC;
D : in STD_LOGIC;
AB : out STD_LOGIC;
BB : out STD_LOGIC;
CB : out STD_LOGIC;
DB : out STD_LOGIC;
EB : out STD_LOGIC;
FB : out STD_LOGIC;
GB : out STD_LOGIC);
end bcd;
architecture Behavioral of bcd is
signal A1, A2, A3 : std_logic;
signal B1, B2, B3 : std_logic;
signal C1, C2 : std_logic;
signal D1, D2, D3 : std_logic;
signal E1, E2 : std_logic;
signal F1, F2, F3 : std_logic;
signal G1, G2 : std_logic;

begin
--Output AB
A1 <= ( NOT A ) AND D;
A2 <= B AND C;
A3 <= ( A AND ( NOT B )) AND ( NOT C ) AND ( NOT D );
--Output BB
B1 <= (B AND D);
B2 <= (( A AND (NOT B ) ) AND C );
B3 <= ( NOT A ) AND ( B AND C );
--Output CB
C1 <= (C AND D);
C2 <= ( NOT A ) AND B AND ( NOT C );
--Output DB
D1 <= (A AND (NOT B)) AND (NOT C);
D2 <= ((A AND NOT B) AND C);
D3 <= A AND B AND C;
--Output EB
E1 <= A;
E2 <= ((not B) and C);
--Output FB
F1 <= (A and B);
F2 <= (B and (not C));
F3 <= (A and (not C) and (not D));
--Output GB
G1 <= (A and B and C);
G2 <= (not B) and (not C);

AB <= ((not A1) and (not A2) and (not A3));


BB <= ((not B1) and (not B2) and (not B3));
CB <= ((not C1) and (not C2));
DB <= ((not D1) and (not D2) and (not D3));
EB <= ((not E1) and (not E2));
FB <= ((not F1) and (not F2) and (not F3));
GB <= ((not G1) and (not G2));
end Behavioral;
Gambar Display Gambar
1
0

II.Analisis
Pada Praktikum kali ini praktikan melakukan percobaan seven segment dengan
zybo dan juga led sebagai display nya . Disini praktikan menggunakan Signal untuk
mempermudah menggabungkan rangkaian.Input pada percobaan ini adalah A,B,C,dan
D.Outputnya adalah AB,BB,CB,DB,EB,FB, dan GB.Masing-masing output tersebut
didapatkan dari beberapa signal yang berasal dari input. Signal Disini bertujuan untuk
mempermudah pembacaan rangkaian. Untuk AB ada 3 signal yakni A1,A2,dan A3.Untuk
BB ada 3 signal yakni B1,B2,dan B3. Untuk CB ada 2 signal yakni C1 dan C2.Untuk DB
ada 3 signal yakni D1,D2,dan D3.Untuk EB ada 2 signal yakni E1 dan E2.Untuk FB ada
3 signal yakni F1,F2,dan F3.Terakhir Untuk GB ada 2 signal yakni G1,G2,dan G3.
Seven segment tersebut dibuat dari ke-7 output yang telah disebutkan di atas dengan
bagian AB berada di bagian atas dan memutar searah jarum jam dengan GB merupakan
bagian tengah seven segment.
Hasil yang didapat juga kurang dari sebagaimana diharapkan karena ada
beberapa input persamaan boeleannya yang tidak pas dengan skematik yang diberikan
ataupun juga kesalahan dalam penempatan tanda kurung yang mengakibatkan hasilnya
tidak sesuai harapan.Berikut adalah rincian hasil seven segment pada percobaan kali ini.
Pada gambar satu yaitu display angka 0 pada seven segment dengan input 0-0-0-0
(urutan kanan terkecil) berhasil menunjukan angka yang sama yang dibuktikan dengan
output timing diagram diatas yaitu ( 1-1-1-1-1-1-0) , hanya output GB yang bernilai 0.
Pada gambar kedua yaitu display angka 1 pada seven segment dengan input 0-00-1 berhasil menunjukan angka yang sama yang dibuktikan dengan output timing
diagram diatas yaitu ( 0-1-1-0-0-0-0) , hanya output BB dan CB yang bernilai 1.
Pada gambar ketiga yaitu display angka 2 pada seven segment dengan input 0-01-0 berhasil menunjukan angka yang sama yang dibuktikan dengan output timing
diagram diatas yaitu ( 1-1-0-1-1-0-1) , hanya output CB dan FB yang bernilai 0.

Pada gambar keempat yaitu display angka 3 pada seven segment dengan input 00-1-1 berhasil menunjukan angka yang sama yang dibuktikan dengan output timing
diagram diatas yaitu ( 1-1-1-1-0-0-1) , hanya output EB dan FB yang bernilai 0.
Pada gambar kelima yaitu display angka 4 pada seven segment dengan input 0-10-0 tidak berhasil menunjukan angka yang sama yang dikarenakan output timing diagram
diatas yaitu ( 1-1-1-1-0-1-1).Seharusnyaa untuk mendapatkan output angka 4 dibutuhkan
susunan timing diagram ( 0-1-1-0-0-1-1) dengan output AB,DB, dan EB bernilai 0.
Pada gambar keenam yaitu display angka 5 pada seven segment dengan input 01-0-1 tidak berhasil menunjukan angka yang sama yang dikarenakan output timing
diagram diatas yaitu ( 1-0-1-0-0-1-1).Seharusnya untuk mendapatkan output angka 5
dibutuhkan susunan timing diagram ( 1-0-1-1-0-1-1) dengan output BB dan EB bernilai 0.
Pada gambar ketujuh yaitu display angka 6 pada seven segment dengan input 01-1-0 berhasil menunjukan angka yang sama yang dibuktikan dengan output timing
diagram diatas yaitu ( 0-0-1-1-1-1-1).Angka 6 yang terbentuk juga tidak sesuai
sebagaimana semestinya karena untuk mendapatkan angka 6 yang sempurna , hanya
output BB saja yang 0,tetapi display yang terjadi dapat dikatakan angka 6 juga walaupun
tidak sempurna.
Pada gambar kedelapan yaitu display angka 7 pada seven segment dengan input
0-1-1-1 tidak berhasil menunjukan angka yang sama yang dibuktikan dengan output
timing diagram diatas seperti output untuk angka 1 yaitu ( 0-1-1-0-0-0-0). Seharusnyaa
untuk mendapatkan output angka 7 dibutuhkan susunan timing diagram ( 1-1-1-0-0-0-0)
dengan hanya output AB,BB, dan CB bernilai 1.Berbeda satu output dari hasil percobaan
yakni pada bagian AB.
Pada gambar kesembilan yaitu display angka 8 pada seven segment dengan input
1-0-0-0 tidak berhasil menunjukan angka yang sama yang dibuktikan dengan output
timing diagram yaitu ( 0-1-1-1-1-1-0) yang membentuk huruf U bukan angka 8.
Seharusnyaa untuk mendapatkan output angka 8 dibutuhkan susunan timing diagram ( 11-1-1-1-1-1) dengan semua outputnya bernilai 1.

III.Tugas Akhir
Membuat seven segment dengan bukti display timing diagram!
Displa
y
0

Gambar

Kodingannya
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity bcdsegment is

Port ( D : in STD_LOGIC;
C : in STD_LOGIC;
B : in STD_LOGIC;
A : in STD_LOGIC;
o_a : out STD_LOGIC;
o_b : out STD_LOGIC;
o_c : out STD_LOGIC;
o_d : out STD_LOGIC;
o_e : out STD_LOGIC;
o_f : out STD_LOGIC;
o_g : out STD_LOGIC);
end bcdsegment;
architecture Behavioral of bcdsegment is
begin
-- output pada segment a
o_a <= (not(B or C or D)) or A or C or (B and D);
-- output pada segment b
o_b <= (not(C or D)) or A or (not(A or B)) or (C and D) ;
-- output pada segment c
o_c <= (not C) or D or B;
-- output pada segment d
o_d <= A or (B and (not C) and D) or ((not B) and(C or (not D))) or ((not D) and C) ;
-- output pada segment e

o_e <= (not D) and ((not(B or C)) or C);


-- output pada segment f
o_f <= A or (not(C or D)) or (B and (not(C and D)));
-- output pada segment g
o_g <= A or (B and (not C)) or (C and (not(B and D)));
end Behavioral;
--Inputnya adalah D,C,B dan A dengan outputnya o_a sampai o_g dengan hasil outputnya
seperti tabel berikut
Input

Output

IV.Refrensi
http://staffweb.worc.ac.uk/DrC/Courses%202006-7
http://www.zeepedia.com/read.php?b=9&c=17
http://home.agh.edu.pl/~ostrowsk/teksty/74ls47.pdf

Anda mungkin juga menyukai