Anda di halaman 1dari 15

BAB I

PENDAHULUAN

A. Latar Belakang
Processor sering disebut sebagai otak dan pusat pengendali komputer yang
didukung oleh komponen lainnya. Processor adalah sebuah IC yang
mengontrol keseluruhan jalannya sebuah sistem komputer dan digunakan
sebagai pusat atau otak dari komputer yang berfungsi untuk melakukan
perhitungan dan menjalankan tugas. Processor terletak pada socket yang telah
disediakan oleh motherboard , dan dapat diganti dengan processor yang lain
asalkan sesuai dengan socket yang ada pada motherboard. Salah satu yang
sangat besar pengaruhnya terhadap kecepatan komputer tergantung dari jenis
dan kapasitas processor.
Processor adalah chip yang sering disebut Microprosessor yang sekarang
ukurannya sudah mencapai Gigahertz (GHz). Ukuran tersebut adalah hitungan
kecepatan processor dalam mengolah data atau informasi. Merk processor yang
banyak beredar dipasatan adalah AMD, Apple, Cyrix VIA, IBM, IDT, dan
Intel. Bagian terpenting dari processor terbagi 3 yaitu :

1.

Aritcmatics Logical Unit (ALU)

2.

Control Unit (CU)

3.

Memory Unit (MU)

BAB II
ISI

A. Processor DLX
DLX adalah RISC prosesor arsitektur yang

dirancang

oleh John

L.

Hennessy dan David A. Patterson, desainer utama dari MIPS dan RISC
Berkeley desain (masing-masing), dua contoh benchmark desain RISC. DLX
pada dasarnya adalah dibersihkan dan disederhanakan MIPS, dengan 32-bit
sederhana load / toko arsitektur. Dimaksudkan terutama untuk tujuan
pengajaran,

desain

yang DLX

secara

luas

digunakan

di universitas

tingkat kursus arsitektur komputer.


Dalam desain MIPS asli salah satu metode yang digunakan untuk mendapatkan
kinerja adalah untuk memaksa semua instruksi untuk menyelesaikan dalam
satu siklus, memaksa kompiler untuk menyisipkan "no-ops" dalam kasus di
mana instruksi pasti akan memakan waktu lebih lama, seperti misalnya akses
memori. Dalam desain DLX pendekatan yang lebih modern untuk instruksi
lama digunakan, dengan menggunakan data forwarding penataan kembali
sistem dan instruksi. Dalam kasus ini, instruksi lagi "mogok" di unit-unit
fungsional mereka, dan kemudian dimasukkan kembali ke dalam aliran
instruksi ketika mereka selesai. Eksekusi eksternal tampaknya terjadi secara
linear.
DLX instruksi dapat dibagi menjadi tiga jenis, R-type, I-J-jenis dantipe. R-jenis
instruksi instruksi mendaftar murni, dengan tiga mendaftar referensi yang
terdapat dalam 32-bit word. Aku tipe-instruksi menentukan dua register, dan
menggunakan 16 bit untuk menyimpan nilai langsung. Akhirnya J-jenis
instruksi yang melompat, berisi alamat 26-bit.
Opcodes adalah 6 bit lama, dengan total dari 64 kemungkinan petunjuk dasar.5
bit yang diperlukan untuk memilih salah satu dari 32 register. Dalam kasus Rinstruksi jenis ini berarti bahwa hanya 21 bit dari 32-bit word yang digunakan,
yang memungkinkan lebih rendah 6 bit untuk digunakan sebagai "extended

petunjuk". DLX ini memungkinkan untuk mendukung lebih dari 64 petunjuk,


selama instruksi tersebut bekerja semata-mata pada register. Hal ini berguna
untuk hal-hal seperti FPU dukungan.
The DLX, seperti desain MIPS, mendasarkan kinerja pada penggunaanpipa
instruksi. Dalam desain DLX ini cukup sederhana, "klasik" RISC di
konsep. Pipa berisi lima tahap: IF - Fetch Instruksi unit biasanya disebut
sebagai "unit beban" dalam terminologi modern ID - Instruksi Decode unit
unit ini mendapat instruksi dari IF, dan ekstrak opcode dan operand dari
instruksi. Ini juga mengambil nilai-nilai mendaftar jika diminta oleh operasi.
EX

Pelaksanaan

unit menjalankan

instruksi,

biasanya

disebut

sebagai ALU dalam terminologi modern MEM - Memory unit akses


unit yang MEM menjemput data dari memori utama, di bawah kontrol instruksi
dari ID dan EX. WB - WriteBack unit
biasanya disebut sebagai "unit toko" dalam terminologi modern.

ketiga format instruksi diringkas dalam tabel ini:

Format

Bits

31 26

25 21

20 16

15 11

10 6

50

R-type

0x0

RS2

RS1

Rd

opcode

terpakai

I-type

opcode

RS1

Rd

segera

J-type

opcode

nilai

PETUNJUK
Tabel ini berisi petunjuk bahwa pelaksanaan DLX Anda harus mendukung.
''petunjuk tambahan yang nyata untuk DLX , termasuk beberapa untuk
mendukung

perhitungan

floating

point,

ini

ditinggalkan

untuk

menyederhanakan implementasi. CATATAN: operasi untuk masing-masing


opcode ditentukan menggunakan C sintaks dan operator. Semua nilai-nilai
segera diisi dengan angka nol di sebelah kiri kecuali jika diindikasikan oleh
memperpanjang (), dalam hal ini mereka melangkah dengan salinan paling kiri
bit pada nilai langsung. Juga, beberapa instruksi tidak menggunakan semua
field yang tersedia untuk format.

Instr.

Deskripsi

Format

Opcode

Operasi (C-gaya
coding)

ADD

tambah

0x20

Rd = RS1 + RS2

Addi

Tambahkan

AKU

0x08

Rd

langsung

RS1

memperpanjang
(langsung)

DAN

dan

0x234

Rd = RS1
& RS2

ANDI

segera

AKU

0x0XC

Rd = RS1
& segera

BEQZ

cabang jika sama


dengan nol

AKU

0x04

PC + = (RS1 ==
0?
Memperpanjang

(segera): 0)
BNEZ

cabang jika tidak

AKU

0x05

sama dengan nol

PC + = (RS1! =
0?
Memperpanjang
(segera): 0)

melompat

0x02

PC

memperluas
(nilai)
JAL

Melompat

dan

0x03

link

R31 = PC + 4; PC
+ = memperluas
(nilai)

JALR

Melompat

dan

AKU

0x13

link mendaftar
JR

R31 = PC + 4; PC
= RS1

Melompat

AKU

0x12

PC = RS1

mendaftar
LHI

beban bit tinggi

AKU

0x0F

Rd = segera <<16

LW

beban kata

AKU

0x23

Rd = MEM [RS1
+ memperpanjang
(langsung)]

ATAU

atau

0x25

Rd = RS1 | RS2

ORI

Atau segera

AKU

0xOD

Rd = RS1 | segera

0x28

Rd = (RS1 ==

Seq

mengatur
jika sama

SEQI

mengatur
sama
segera

RS2? 1: 0)
jika
dengan

AKU

0x18

Rd = (RS1 ==
memperpanjang
(langsung)? 1: 0)

SLE

menetapkan

jika

0x2C

kurang dari atau

Rd = (RS1 <=
RS2? 1: 0)

sama
SLEI

menetapkan

jika

AKU

0x1X

Rd = (RS1 <=

kurang dari atau

memperluas

sama

(langsung)? 1: 0)

dengan

segera
SLL

bergeser ke kiri

0x04

logis
SLL

RS1

<<(RS2% 8)

logis

segera

AKU

0x14

bergeser ke kiri
SLT

Rd

menetapkan

Rd

RS1

<<(langsung% 8)

jika

0x2A

Rd = (RS1

jika

AKU

0x1A

Rd = (RS1

0x29

Rd = (RS1! =

kurang dari
SLTI

menetapkan

kurang dari segera


SNE

ditetapkan

jika

tidak sama
SNEI

SRA

ditetapkan

RS2? 1: 0)
AKU

0x19

Rd = (RS1! =

jika tidak sama

Memperluas

dengan segera

(langsung)? 1: 0)

bergeser ke kanan

0x07

aritmatika

sebagai
SRL & lihat di
bawah

SRAI

aritmatika segera
bergeser ke kanan

AKU

0x17

sebagai
SRLI & lihat di
bawah

SRL

bergeser ke kanan

0x06

logis
SRLI

logis

RS1>>

(RS2% 8)
segera

AKU

0x16

bergeser ke kanan
SUB

Rd

Mengurangkan

Rd

RS1>>

(langsung% 8)
R

0x22

Rd = RS1
- RS2

SUBI

Mengurangkan

AKU

0x0A

segera

Rd

RS1

memperpanjang
(langsung)

SW

menyimpan kata

AKU

0x2B

MEM

[RS1

memperpanjang
(langsung)] = Rd
XOR

eksklusif atau

XORI

eksklusif

atau

0x26

Rd = RS1 ^ RS2

AKU

0x0E

Rd

segera

RS1

langsung

Ada beberapa catatan tambahan pada petunjuk.


-SW instruksi benar-benar menggunakan sumber Rd untuk mendaftar (nilai
akan berasal dari Rd memori).
-JR dan JALR hanya menggunakan RS1; nilai langsung dan Rd keduanya
dibuang.
-SRA dan aritmatika SRAI adalah benar bergeser. Ini berarti bahwa, bukan nol
pergeseran dari kiri, sedikit tanda dari operand diduplikasi. SRL dan SRA

melakukan identik jika RS1 adalah positif. Jika RS1 negatif (31 bit == 1), 1's
yang bergeser dari kiri untuk SRA dan SRAI.
-LHI digunakan untuk memuat potongan atas 32-bit konstan. Untuk load 32-bit
konstan 0x12345678 ke R1, jalankan perintah berikut:
LHI R1, # 0x1234
ORI R1, R1, # 0x5678

B. Pengertian Processor DLX


Prosesor DLX adalah prosesor dengan tujuan umum (general purpose prosessor)
yang dirancang oleh John Hennssy dan David Peterson (desainer utama dari
MIPS dan RISC Berkeley, dua contoh Benchmark desain RISC) dalam bukunya
Computer Architecture A Quantitative Approach pada tahun 1996. Prosesor
DLX pada dasarnya adalah versi sederhana dari arsitektur MIPS dan sangat
mirip dengan itu, dengan 32-bit sederhana load arsitektur. Arsitektur dan
instruksinya tidak terlalu rumit tapi sudah mewakili komputer modern yang
lengkap.

Prosesor DLX adalah prosesor yang bertipe-RISC (Reduce Instruction Set


Computer) yang memiliki 32 buah register dengan masing-masing panjangnya
32 bit. Dua buah register mempunyai fungsi khusus, yaitu register 0 selalu
bernilai nol. Register ini digunakan sebagai operand sumber jika memerlukan
nilai nol. DLX juga memiliki program counter dengan panjang 32 bit.

C. Kegunaan Prosesor DLX


Prosesor DLX merupakan salah satu prosesor modern yang digunakan untuk
pembelajaran di perguruan tinggi. Prosesor ini juga disebut prosesor Delux dan
memberikan gambaran yang lebih menyeluruh tentang suatu prosesor modern.
Bagian penting yang dipelajari prosesor ini adalah jalur data, instruksi, dan
bagian kendali.

D. Arsitektur Prosesor DLX


Prosesor DLX menggunakan arsitektur ambil-simapan (load-store) dengan
lima tahap pipeline (seperti desain MIPS ) untuk meneyelesaikan suatu
instruksi. Kelima tahap tersebut adalah: Instruction Fetch (IF), Instruction
Decode (ID), Execute (EX), Memory Access (MEM), dan Write Back (WB).
Manfaat dari rancangan ini adalah ketika proses fetch instruksi dari memori
akan lebih mudah. Pengambilannya pun dilakukan dengan membaca 4-byte
data dalam memory.

Tahapan pipeline :

1.IF - Instruksi Fetch unit


Biasanya disebut sebagai "unit beban" dalam terminologi modern. Instruksi yang
ditunjuk oleh PC diambil dari memori ke register instruksi CPU, dan PC
bertambah untuk menunjuk ke instruksi berikutnya dalam memori.

2.ID - Instruksi Decode unit

Unit ini mendapat instruksi dari IF, dan ekstrak opcode dan operand dari instruksi.
Instruksi yang diterjemahkan, dan pada paruh kedua dari tahap Operand ditransfer
dari register file ke input ALU register. Ini juga mengambil nilai-nilai mendaftar
jika diminta oleh operasi.

3.EXE Excute (Pelaksanaan) unit


Menjalankan instruksi, biasanya disebut sebagai ALU dalam terminologi modern.
Logika dan operasi aritmatika yang dijalankan pada operand yang berasal dari ID
stage. Hasil dari operasi dapat menjadi nilai yang akan ditulis kembali dalam
register file atau alamat dari data memori untuk diakses pada tahap berikutnya.

4.MEM - Memory unit akses


Unit yang MEM menjemput data dari memori utama, di bawah kontrol instruksi
dari ID dan EX.
Data memori diakses (baik membaca atau menulis).

5.WB Write Back unit


Biasanya disebut sebagai load-store dalam terminologi modern. Pada tahapan
ini, disediakan saluran bagi DLX sehingga dapat menyimpan kembali register
untuk tahap eksekusi (EX). Hal ini dapat mempercepat pelaksanaan operasi
register

ke

register

oleh

ALU

yang

berada

dalam

tahap

eksekusi.

Lima komponen utama prosesor DLX masing-masing memiliki fungsi yang


berbeda yaitu :

1.PC (Program Counter):

10

register yang berfungsi menampung nilai yang digunakan untuk meniunjuk alamat
instruksi yang akan dieksekusi. Register ini merupakan offset dari alamat dasar
instruksi sekaligus data.

2.Memori:
terdiri dari dua bagian yaitu memori untuk instruksi yang berisi kumpulan seluruh
instruksi dan memori untuk data yang berfungsi untuk menyimpan data.

3.Register:
kumpulan register 32 bit tempat menyimpan nilai semsntara.

4.ALU (Arithmatic and Logical Unit):


tempat dilakukannya operasi aritmatika dan logic.

5.Unit Kendali (Control Unit):


unit kendali terdiri dari dua bagian yaitu register instruksi (instruction register):
register tempat menyimpan sementara instruksi, dan pengendali (controller):
bagian yang menerjemahkan instruksi dan mengeluarkan set kendali pada seluruh
register untuk menjalankan suatu instruksi.

Prosesor ini merupakan prosesor dengan sistem yang berorientasi pada 32-bit
word. Maksudnya adalah CPU mengandung ALU 32-bit, 32 buah Register dengan
panjang 32 bit dalam register file, tiga buah bus 32-bit, register khusus masingmasing 32-bit (PC, IR, MAR, MDR, IAR, TEMP) dan tiga buah register (A,B dan
C).

11

E. Karakteristik Prosesor DLX


Secara umum prosesor DLX memiliki karakteristik yang dapat dibedakan dari
prosesor lain :
1.Perangkat instruksi ambil/simpan (Load/Store) sederhana.
2.Memiliki teknik pipeline untuk meningkatkan kinerjanya.
3.Memiliki register file yang dapat digunakan untuk tujuan umum.
4.Skema penerjemahan instruksi yang sederhana.
5.Teknik kompilasi yang efisien.

Kelas instruksi dalam prosesor DLX antara lain :


1. Instruksi yang mengacu memori (Load/Store)
Setiap dari GPRS atau FPRs dapat dimuat dan disimpan kecuali bahwa
pemuatan R0 tidak memiliki pengaruh.

2.Instruksi aritmatik dan logika (ALU )


Operasi adalah : Menambahkan, Mengurangkan, AND, OR, XOR, dan Shift.
Bandingkan instruksi dua register (=,!=,<,>,=<,=>). Jika kondisi benar,
menempatkan petunjuk ini 1 dalam register tujuan, kalau tidak, mereka
menempatkan sebuah 0.

3.Instruksi lompat dan pencabangan (Jump dan Branch)


Kondisi cabang ditetapkan oleh instruksi, yang dapat menguji sumber
mendaftar nol atau nol. Operasi Floating-Point : Menambahkan,
Mengurangkan, Multiply dan Membagi.
Salah satu ciri arsitektur RISC adalah memiliki panjang instruksi yang sama.

12

DLX memiliki panjang instruksi 32 bit dengan 5 tahapan pipeline yaitu : IF,
ID, EX, MEM dan WB.

F. Format Instruksi
Ada tiga format instruksi di DLX : Tipe-R, Tipe-I, dan Tipe-J. Semua format
instruksi dibedakan oleh kode operasi (operation code-opcode), namun
informasi lain dalam instruksi bervariasi menurut format.

1.Tipe-R (register)
Instruksi menetapkan tiga register pada instruksi, dua register sumber dan satu
register tujuan terdapat dalam 32-bit word.
Bagian-bagian instruksi tipe-R :
Kode opersai : 6 bit dari bit 0 5
Register sumber 1 (rs1) : 5 bit dari bit 6 10
Register sumber 2 (rs 2) : 5 bit dari bit 11 15
Fungsi : 11 bit dari 21 - 31

2.Tipe-I (Immediate)
Instruksi membutuhkan dua register dalam sebuah intruksi yaitu satu register
sumber, satu register tujuan, dan 16-bit.
Bagian-bagian instruksi tipe-I :
Kode operasi : 6 bit dari 0 5
Register sumber (rs) : 5 bit dari bit 6 10

13

Register tujuan (rd) : 5 bit dari bit 11 - 15


Nilai : 16 bit dari bit 16 - 31

3.Tipe-J (jump)
Instruksi hanya terdiri dari :
Kode operasi (opcode) : 6 bit dari 0 5
Alamat (operand) : 26 bit dari 6 31, yang digunakan untuk menghitung alamat
tujuan.

BAB III
PENUTUP

Jadi dalam pembahasan di atas Prosesor DLX itu berarti sebuah


prosesor berbasis RISC (Reduced Instruction Set Computer) yang
dirancang sebagai prosesor tujuan umum (general purpose processor).
Prosesor ini dapat kita temukan di computer atau laptop tertentu yang
mempunyai arsitektur load-store dengan panjang semua instruksinya
32 bit. Setiap instruksi dieksekusi dalam beberapa siklus waktu
(cycletime). Secara umum time cycle yang digunakan sebanyak lima
tahap yang terdiri dari tahap-tahap : Instruction Fetch (IF), Instruction
Decode (ID), Execute (EX), Memory Access (MEM), dan Write Back
(WB). Kelima tahap ini dikerjakan secara berurutan [2]. Sebagai
prosesor multicycle, DLX mempunyai peluang untuk meningkatkan
kinerjanya yang diukur dengan kecepatan proses yang dinyatkan
14

sebagai waktu CPU (CPU time). Peningkatan kinerja prosesor DLX


dapat diterapkan dengan menggunakan teknik pipeline.

DAFTAR PUSTAKA
http://arindhis.blogspot.com/2010/02/prosessor.html

http://haryholic.blogspot.com/2010/07/prosesor-dlx.html
https://www.google.com/
http://technohitech.blogspot.com/2011_05_01_archive.html

15

Anda mungkin juga menyukai