Anda di halaman 1dari 12

Percobaan III

SYNTHESIZABLE MIPS32® MICROPROCESSOR BAGIAN I :


INSTRUCTION SET, REGISTER, DAN MEMORY

GAUS MARGUNA SILABAN


Tanggal Percobaan : 25/10/2018
[NWS3103][Praktikum Arsitektur Sistem Komputer ]
[Laboratorium dasar teknik elektro] – TeknikElektro
Institut Teknologi Del

VHDL, terdapat definisi sinyal bernama std_logic yang sesuai


Abstrak – dengan standar IEEE 1164. Terdapat sembilan jenis nilai
Pada praktikum ini kita akan melakukan percobaan sinyal yang didefinisikan dalam std_logic. Untuk
tentang perancangan tentang instruction memory, menggunakan nilai sinyal standar std_logic, kita dapat
perancangan instruction memry dengan altera® menggunakan library yang telah tersedia yaitu
megafungction altsyncram, perancangan data memory ieee.std_logic_1164.all..
dengan altera® mega fungction altsyncram dan
perancangan register. Tujuan dilakukannya praktikum ini II. LANDASAN TEORETIS
adalah supaya praktikan memahami arsitektur Tidak seperti bahasa Verilog HDL, VHDL merupakan bahasa
mikroprosesor MIPS32® beserta datapath eksekusinya, yang case insensitive. Abstraksi utama dalam bahasa VHDL
praktikan memahami instruction set dari MIPS32® dan disebut entitas desain (design entity) yang terdiri atas input,
dapat membuat program sederhana dalam bahasa output, dan fungsi yang didefinisikan secara benar. Entitas
assembly yang dapat dieksekusi pada MIPS32®, desain dalam VHDL terdiri atas dua bagian.
praktikan dapat melakukan simulasi eksekusi program Pada modul praktikum ini tidak akan dibahas terlalu dalam
MIPS32® pada program simulasi SPIM dan memahami cara-cara melakukan simulasi pada Altera® Quartus® II
cara setiap instruksi dieksekusi, praktikan dapat membuat karena diasumsikan praktikan telah memperoleh pengalaman
instruction memory, data memory dan register dari menggunakan program ini baik untuk simulasi fungsional dan
MIPS32® dalam kode VHDL yang synthesizable dan simulasi timing saat mengambil Praktikum Sistem Digital
dapat disimulasikan dengan Altera® Quartus® II v9.1sp2 pada tingkat II. Versi Altera® Quartus® II yang disarankan
Pada praktikum ini kita menggunakan komputer Desktop untuk digunakan dalam praktikum ini adalah Altera®
/ Laptop dengan sistem operasi Microsoft® Windows™ Quartus® II v9.1sp2 karena pada versi ini terdapat simulator
7/8/8.1, altera® Quartus® II v9.1sp2 Web Edition atau fungsional dan timing yang telah terintegrasi. Versi Altera®
Altera® Quartus® II v9.1sp2 Subscription Edition. Quartus® II yang lebih baru tidak terdapat simulator
(Altera® Quartus® II v10 atau yang lebih baru juga dapat fungsional dan timing sehingga praktikan harus menggunakan
digunakan, namun tidak terdapat simulator. Praktikan Mentor Graphics® ModelSim® untuk melakukan simulasi.
harus menggunakan Mentor Graphics® ModelSim®
untuk melakukan simulasi), PCSpim sebagai simulator
MIPS32® (untuk Microsoft® Windows™ 8/8.1, A. Subbab Landasan Teoretis I
diperlukan mengunduh dan memasang Microsoft® .Net
Framework 3.5 terlebih dahulu) dan notepad++ sebagai Instruction Fetch (IF)
teks editor. Tahap instruction fetch berfungsi mengatur aliran instruksi
yang akan diolah pada tahap berikutnya. Instruksi yang sedang
Kata kunci : dijalankan merupakan instruksi yang berasal dan disimpan
Kata kunci : Bahasa VHDL, Mikroprosesor MIPS32®, dari memory. Pada arsitektur ini, memory dipisahkan menjadi
Instruction SET, REGISTER Dan Memory. dua bagian yaitu instruction memory yang berfungsi
menyimpan instruksi-instruksi yang akan dieksekusi dan data
memory yang berfungsi untuk menyimpan data untuk
I. PENDAHULUAN
menghindari structural hazard. Dengan demikian, arsitektur ini
VHDL (Very High Speed Integrated Circuit Hardware menganut Harvard Architecture.
Description Language) atau VHSIC Hardware Description
Language merupakan bahasa untuk mendeskripsikan Instruction Decode (ID)
perangkat keras yang digunakan dalam desain elektronik Tahap berikutnya, instruksi yang telah diambil (fetched) dari
digital dan mixed-signal, contohnya Field-Programmable Gate instruction memory berpindah ke tahap instruction decode.
Array (FPGA) atau Integrated Circuit (IC). Sistem digital Pada tahap ini, instruksi dengan lebar 32-bit akan dipecah
sangat erat kaitannya dengan sinyal. Sinyal dapat dianalogikan
sebagai wire dan dapat berubah ketika input berubah. Dalam
sesuai format instruksi yang digunakan. Penjelasan mengenai III. HASIL DAN ANALISIS
decoding instruksi ini dapat dilihat pada bagian selanjutnya.
A. Tugas 1 : Perancangan Instruction Memory
Execute / Address Calculation (EX) Dalam mikroprosesor Single-Cycle MIPS32® yang akan kita
Tahap ini merupakan tahap sebagian besar operasi aritmatika realisasikan, instruction memory memiliki lebar data sebesar
dan logika pada arithmetic and logical unit (ALU) dilakukan. 32-bit dan lebar address sebesar 32-bit. Untuk praktikum ini,
Pada tahap ini juga terdapat tempat untuk meneruskan alamat kita akan merealisasikan instruction memory yang dapat
register kembali ke tahap instruction decode sebagai deteksi menampung 32 buah instruksi. Dengan demikian, tidak semua
hazard. address terpakai dalam praktikum ini. Hanya 32 address paling
awal saja yang dipakai. Instruction memory memiliki sebuah
Data Memory (MEM) port input yang menerima address dengan lebar 32-bit dan
Pada tahap ini, data disimpan dan/atau diambil dari data sebuah port output yang mengeluarkan instruksi dengan lebar
memory. Data memory hanya dapat disimpan atau dibaca jika data 32-bit. Terdapat pula port input clock untuk
ada sinyal MemRead dan/atau MemWrite yang sesuai mengendalikan rangkaian ini.
sehingga operasi baca dan/atau tulis pada data memory dapat
dilakukan. Dengan code :
LIBRARY IEEE;
Write Back (WB) USE IEEE.STD_LOGIC_1164.ALL; USE
Tahap terakhir ini digunakan untuk mengalirkan data dari IEEE.STD_LOGIC_ARITH.ALL; USE
data memory atau hasil perhitungan arithmetic and logical unit IEEE.STD_LOGIC_UNSIGNED.ALL;
(ALU) ke register untuk dapat menjalankan instruksi LIBRARY altera_mf;
selanjutnya. USE altera_mf.altera_mf_components.ALL;
ENTITY instrucMEM IS PORT (
ADDR : IN std_logic_vector (31 DOWNTO 0);
clock : IN std_logic;
reset : IN std_logic;
INSTR : OUT std_logic_vector (31 DOWNTO 0)
);
END ENTITY;
ARCHITECTURE behavior OF instrucMEM IS
TYPE ramtype IS ARRAY (31 DOWNTO 0) OF
std_logic_vector (31 DOWNTO 0);
SIGNAL mem: ramtype;
BEGIN
PROCESS (reset,ADDR,mem)
BEGIN
IF (reset='1') THEN
INSTR <= (OTHERS => '0');
ELSE
INSTR <= mem(conv_integer (ADDR));
END IF; END
PROCESS;
-- Isi dalam instruction memory
mem(0) <= X"00000022";
mem(1) <= X"8c010000";
mem(2) <= X"8c020004";
mem(3) <= X"8c030008";
mem(4) <= X"00842022";
mem(5) <= X"00822020";
mem(6) <= X"0043282a";
mem(7) <= X"10a00002";
mem(8) <= X"00411020";
mem(9) <= X"1000fffb";
mem(10) <= X"ac040000";
mem(11) <= X"1000ffff";
END behavior;
Hasil : (
init_file => "imemory.mif",
operation_mode => "ROM",
widthad_a => 16,
width_a => 32
)
PORT MAP
(
clock0 => clock,
address_a => ADDR,
q_a => sub_wire0
);
END structural;

B. Tugas 2 : Perancangan Instruction Memory dengan Hasil :


Altera® MegaFunction ALTSYNCRAM
Pada bagian ini, kita akan memanfaatkan sebuah template
desain yang telah tersedia dalam Altera® Quartus® II yaitu
Altera® MegaFunction ALTSYNCRAM. Template ini dapat
digunakan untuk merealisasikan synchronous RAM dan ROM
dalam desain kita. Selain itu, kita dapat menggunakan
inisialisasi isi memory dari file eksternal berformat .mif

Dengan code :
LIBRARY ieee;
USE ieee.std_logic_1164.all;
LIBRARY altera_mf;
USE altera_mf.all; C. . Tugas 3 : Perancangan Data Memory dengan
ENTITY instruction_memory IS Altera® MegaFunction ALTSYNCRAM
PORT ( Dalam mikroprosesor Single-Cycle MIPS32® yang akan kita
ADDR : IN STD_LOGIC_VECTOR (15 DOWNTO 0); -- realisasikan, data memory memiliki lebar data sebesar 32-bit
alamat dan lebar address sebesar 32-bit. Untuk praktikum ini, kita
clock : IN STD_LOGIC := '1'; -- clock akan merealisasikan data memory yang dapat menampung 256
INSTR : OUT STD_LOGIC_VECTOR (31 DOWNTO 0) - buah data. Dengan demikian, tidak semua address terpakai
- output dalam praktikum ini. Hanya 256 address paling awal saja yang
); dipakai. Data memory memiliki sebuah port input yang
END ENTITY; menerima address dengan lebar 32-bit dan sebuah port output
ARCHITECTURE structural OF instruction_memory IS yang mengeluarkan data yang dibaca dengan lebar data 32-bit.
SIGNAL sub_wire0 : STD_LOGIC_VECTOR (31 Data memory juga memiliki port input untuk memasukkan
DOWNTO 0); data yang akan ditulis dengan lebar data 32-bit. Terdapat pula
-- signal keluaran output port input clock untuk mengendalikan rangkaian ini, port input
COMPONENT altsyncram untuk mengaktifkan mode tulis (write enable), dan port
-- komponen memori input untuk mengaktifkan mode baca (read enable). Karena
GENERIC desain yang mirip dengan desain instruction memory, kita
( akan memanfaatkan kembali Altera® MegaFunction
init_file : STRING; -- name of the .mif file ALTSYNCRAM untuk merealisasikan rangkaian ini.
operation_mode : STRING; -- the operation mode
widthad_a : NATURAL; -- width of address_a[] Dengan code :
width_a : NATURAL -- width of data_a[]
); LIBRARY ieee;
PORT USE ieee.std_logic_1164.all;
( LIBRARY altera_mf; USE altera_mf.all;
clock0 : IN STD_LOGIC ;
address_a : IN STD_LOGIC_VECTOR (15 DOWNTO 0); ENTITY data_memory IS PORT (
q_a : OUT STD_LOGIC_VECTOR (31 DOWNTO 0) ADDR : IN STD_LOGIC_VECTOR (7 DOWNTO 0); --
); alamat
END COMPONENT; WR_EN : IN STD_LOGIC; --Indikator Penulisan
BEGIN RD_EN : IN STD_LOGIC; --Indikator Pembacaan
INSTR <= sub_wire0; clock : IN STD_LOGIC := '1'; -- clock
altsyncram_component : altsyncram RD_Data : OUT STD_LOGIC_VECTOR (7 DOWNTO 0);
GENERIC MAP
WR_Data : IN STD_LOGIC_VECTOR (7 DOWNTO 0) D. Tugas 4 : Perancangan Register
); Dalam mikroprosesor Single-Cycle MIPS32® yang akan kita
END ENTITY; realisasikan, terdapat 32 buah register yang masing-masing
memiliki lebar data 32-bit. Register ini memiliki dua buah port
ARCHITECTURE structural OF data_memory IS input untuk memasukkan address 32-bit dari data yang akan
SIGNAL sub_wire0 : STD_LOGIC_VECTOR (31 dibaca dan memiliki satu buah port input untuk memasukkan
DOWNTO 0); address 32-bit tempat data akan ditulis. Selain itu terdapat dua
buah port output tempat keluarnya data 32-bit yang dibaca dan
COMPONENT altsyncram satu buah port input tempat masuknya data 32-bit yang akan
-- komponen memori ditulis. Terdapat pula port input untuk clock dan port input
GENERIC untuk sinyal untuk mengaktifkan mode tulis (write enable).
( Penggunaan urutan register ini sesuai dengan tabel register
init_file : STRING; MIPS32® pada landasan teoretis praktikum. Perhatikan bahwa
operation_mode : STRING; nilai register 0 harus tetaplah nol.
widthad_a : NATURAL;
width_a : NATURAL
); Dengan code :

PORT # ----------------------------------------------------------------------
( ---- #
wren_a : IN STD_LOGIC; -- Write Enable Activation #
clock0 : IN STD_LOGIC ; -- Clock # Copyright (C) 1991-2013 Altera Corporation
address_a : IN STD_LOGIC_VECTOR (7 DOWNTO 0); -- # Your use of Altera Corporation's design tools, logic
Address Input functions
q_a : OUT STD_LOGIC_VECTOR (7 DOWNTO 0); -- # and other software and tools, and its AMPP partner logic
Data Output # functions, and any output files from any of the foregoing
data_a : IN STD_LOGIC_VECTOR (7 DOWNTO 0) -- # (including device programming or simulation files), and
Data Input any
); # associated documentation or information are expressly
END COMPONENT; subject
# to the terms and conditions of the Altera Program License
BEGIN # Subscription Agreement, Altera MegaCore Function
altsyncram_component : altsyncram License
GENERIC MAP # Agreement, or other applicable license agreement,
( including,
init_file => "dmemory.mif", operation_mode # without limitation, that your use is for the sole purpose of
=> "SINGLE_PORT", widthad_a => 8, # programming logic devices manufactured by Altera and
width_a => 8 sold by
) # Altera or its authorized distributors. Please refer to the
# applicable agreement for further details.
PORT MAP #
( # ----------------------------------------------------------------------
wren_a => WR_EN and not(RD_EN), -- isi yang sesuai ---- #
clock0 => clock, #
address_a => ADDR, # Quartus II 64-Bit
q_a => RD_Data, -- isi yang sesuai # Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ
data_a => WR_Data Web Edition
); # Date created = 15:15:53 November 01, 2018
END structural; #
# ----------------------------------------------------------------------
Hasil : ---- #
#
# Notes:
#
# 1) The default values for assignments are stored in the
file:
# reg_file_assignment_defaults.qdf
# If this file doesn't exist, see file:
# assignment_defaults.qdf
#
# 2) Altera recommends that you do not modify this file.
This
# file is updated automatically by the Quartus II software
# and any changes you make may be lost or overwritten.
#
# ----------------------------------------------------------------------
---- #

set_global_assignment -name FAMILY "Cyclone IV GX"


set_global_assignment -name DEVICE auto
set_global_assignment -name TOP_LEVEL_ENTITY
reg_file
set_global_assignment -name
ORIGINAL_QUARTUS_VERSION "13.0 SP1"
set_global_assignment -name
PROJECT_CREATION_TIME_DATE "15:15:53
NOVEMBER 01, 2018"
set_global_assignment -name
LAST_QUARTUS_VERSION "13.0 SP1"
set_global_assignment -name
PROJECT_OUTPUT_DIRECTORY output_files
set_global_assignment -name VHDL_FILE reg_file.vhd
set_instance_assignment -name
PARTITION_HIERARCHY root_partition -to | -section_id
Top
set_global_assignment -name
PARTITION_NETLIST_TYPE SOURCE -section_id Top
set_global_assignment -name
PARTITION_FITTER_PRESERVATION_LEVEL
PLACEMENT_AND_ROUTING -section_id Top
set_global_assignment -name PARTITION_COLOR
16764057 -section_id Top
set_global_assignment -name
VECTOR_WAVEFORM_FILE Waveform.vwf
set_global_assignment -name SIMULATION_MODE
TIMING
set_global_assignment -name EDA_SIMULATION_TOOL
"ModelSim-Altera (Verilog)"
set_global_assignment -name
EDA_OUTPUT_DATA_FORMAT "VERILOG HDL" -
section_id eda_simulation
set_global_assignment -name
EDA_GENERATE_FUNCTIONAL_NETLIST ON -
section_id eda_simulation
set_global_assignment -name
INCREMENTAL_VECTOR_INPUT_SOURCE
"D:/nael/Perco4/Waveform.vwf"

Hasil :
Simpulan
Informasi yang disimpan di memory
dapatdirepresentasikan
dalam level bit.Dalam mengolah informasi pada memory, REFERENSI
dapat digunakanoperator-operator bitwise. [1] Tim Asisten Praktikum.Modul Praktikum Arsitektur Sistem
Pointer memiliki banyak kegunaan dalam Komputer. Laboratorium Teknik Elektro dan Informasika
pengolahaninformasi, namun memiliki banyak bahaya juga. Institut Teknologi Del. Tobasamosir. 2018
Penggunaan data structure yang tidak terartur, [2]
akanmenyebabkan semakin besar ukurannya. Hal ini modul_praktikum_arsitektur_sistem_komputer_it.del_2019
disebabkanadanya proses alignment dalam data structure.
Penggunaan array lebih mudah dan cepat
dibandingkandengan penggunaan data structure.
Lampiran

Tugas 1 : Perancangan Instruction Memory

Dengan code :

LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL;
LIBRARY altera_mf;
USE altera_mf.altera_mf_components.ALL;
ENTITY instrucMEM IS PORT (
ADDR : IN std_logic_vector (31 DOWNTO 0);
clock : IN std_logic;
reset : IN std_logic;
INSTR : OUT std_logic_vector (31 DOWNTO 0)
);
END ENTITY;
ARCHITECTURE behavior OF instrucMEM IS
TYPE ramtype IS ARRAY (31 DOWNTO 0) OF std_logic_vector (31 DOWNTO 0);
SIGNAL mem: ramtype;
BEGIN
PROCESS (reset,ADDR,mem)
BEGIN
IF (reset='1') THEN
INSTR <= (OTHERS => '0');
ELSE
INSTR <= mem(conv_integer (ADDR));
END IF; END
PROCESS;
-- Isi dalam instruction memory
mem(0) <= X"00000022";
mem(1) <= X"8c010000";
mem(2) <= X"8c020004";
mem(3) <= X"8c030008";
mem(4) <= X"00842022";
mem(5) <= X"00822020";
mem(6) <= X"0043282a";
mem(7) <= X"10a00002";
mem(8) <= X"00411020";
mem(9) <= X"1000fffb";
mem(10) <= X"ac040000";
mem(11) <= X"1000ffff";
END behavior;
Hasil :

Tugas 2 : Perancangan Instruction Memory dengan Altera® MegaFunction ALTSYNCRAM

Dengan code :

LIBRARY ieee;
USE ieee.std_logic_1164.all;
LIBRARY altera_mf;
USE altera_mf.all;
ENTITY instruction_memory IS
PORT (
ADDR : IN STD_LOGIC_VECTOR (15 DOWNTO 0); -- alamat
clock : IN STD_LOGIC := '1'; -- clock
INSTR : OUT STD_LOGIC_VECTOR (31 DOWNTO 0) -- output
);
END ENTITY;
ARCHITECTURE structural OF instruction_memory IS
SIGNAL sub_wire0 : STD_LOGIC_VECTOR (31 DOWNTO 0);
-- signal keluaran output
COMPONENT altsyncram
-- komponen memori
GENERIC
(
init_file : STRING; -- name of the .mif file
operation_mode : STRING; -- the operation mode
widthad_a : NATURAL; -- width of address_a[]
width_a : NATURAL -- width of data_a[]
);
PORT
(
clock0 : IN STD_LOGIC ;
address_a : IN STD_LOGIC_VECTOR (15 DOWNTO 0);
q_a : OUT STD_LOGIC_VECTOR (31 DOWNTO 0)
);
END COMPONENT;
BEGIN
INSTR <= sub_wire0;
altsyncram_component : altsyncram
GENERIC MAP
(
init_file => "imemory.mif",
operation_mode => "ROM",
widthad_a => 16,
width_a => 32
)
PORT MAP
(
clock0 => clock,
address_a => ADDR,
q_a => sub_wire0
);
END structural;

Hasil :

Tugas 3 : Perancangan Data Memory dengan Altera® MegaFunction ALTSYNCRAM

Dengan code :

LIBRARY ieee;
USE ieee.std_logic_1164.all;
LIBRARY altera_mf; USE altera_mf.all;

ENTITY data_memory IS PORT (


ADDR : IN STD_LOGIC_VECTOR (7 DOWNTO 0); -- alamat
WR_EN : IN STD_LOGIC; --Indikator Penulisan
RD_EN : IN STD_LOGIC; --Indikator Pembacaan
clock : IN STD_LOGIC := '1'; -- clock
RD_Data : OUT STD_LOGIC_VECTOR (7 DOWNTO 0);
WR_Data : IN STD_LOGIC_VECTOR (7 DOWNTO 0)
);
END ENTITY;

ARCHITECTURE structural OF data_memory IS


SIGNAL sub_wire0 : STD_LOGIC_VECTOR (31 DOWNTO 0);

COMPONENT altsyncram
-- komponen memori
GENERIC
(
init_file : STRING;
operation_mode : STRING;
widthad_a : NATURAL;
width_a : NATURAL
);

PORT
(
wren_a : IN STD_LOGIC; -- Write Enable Activation
clock0 : IN STD_LOGIC ; -- Clock
address_a : IN STD_LOGIC_VECTOR (7 DOWNTO 0); -- Address Input
q_a : OUT STD_LOGIC_VECTOR (7 DOWNTO 0); -- Data Output
data_a : IN STD_LOGIC_VECTOR (7 DOWNTO 0) -- Data Input
);
END COMPONENT;

BEGIN
altsyncram_component : altsyncram
GENERIC MAP
(
init_file => "dmemory.mif", operation_mode
=> "SINGLE_PORT", widthad_a => 8,
width_a => 8
)

PORT MAP
(
wren_a => WR_EN and not(RD_EN), -- isi yang sesuai
clock0 => clock,
address_a => ADDR,
q_a => RD_Data, -- isi yang sesuai
data_a => WR_Data
);
END structural;

Hasil :

Tugas 4 : Perancangan Register

Dengan code :

# -------------------------------------------------------------------------- #
#
# Copyright (C) 1991-2013 Altera Corporation
# Your use of Altera Corporation's design tools, logic functions
# and other software and tools, and its AMPP partner logic
# functions, and any output files from any of the foregoing
# (including device programming or simulation files), and any
# associated documentation or information are expressly subject
# to the terms and conditions of the Altera Program License
# Subscription Agreement, Altera MegaCore Function License
# Agreement, or other applicable license agreement, including,
# without limitation, that your use is for the sole purpose of
# programming logic devices manufactured by Altera and sold by
# Altera or its authorized distributors. Please refer to the
# applicable agreement for further details.
#
# -------------------------------------------------------------------------- #
#
# Quartus II 64-Bit
# Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition
# Date created = 15:15:53 November 01, 2018
#
# -------------------------------------------------------------------------- #
#
# Notes:
#
# 1) The default values for assignments are stored in the file:
# reg_file_assignment_defaults.qdf
# If this file doesn't exist, see file:
# assignment_defaults.qdf
#
# 2) Altera recommends that you do not modify this file. This
# file is updated automatically by the Quartus II software
# and any changes you make may be lost or overwritten.
#
# -------------------------------------------------------------------------- #

set_global_assignment -name FAMILY "Cyclone IV GX"


set_global_assignment -name DEVICE auto
set_global_assignment -name TOP_LEVEL_ENTITY reg_file
set_global_assignment -name ORIGINAL_QUARTUS_VERSION "13.0 SP1"
set_global_assignment -name PROJECT_CREATION_TIME_DATE "15:15:53 NOVEMBER 01, 2018"
set_global_assignment -name LAST_QUARTUS_VERSION "13.0 SP1"
set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files
set_global_assignment -name VHDL_FILE reg_file.vhd
set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top
set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top
set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -
section_id Top
set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top
set_global_assignment -name VECTOR_WAVEFORM_FILE Waveform.vwf
set_global_assignment -name SIMULATION_MODE TIMING
set_global_assignment -name EDA_SIMULATION_TOOL "ModelSim-Altera (Verilog)"
set_global_assignment -name EDA_OUTPUT_DATA_FORMAT "VERILOG HDL" -section_id eda_simulation
set_global_assignment -name EDA_GENERATE_FUNCTIONAL_NETLIST ON -section_id eda_simulation
set_global_assignment -name INCREMENTAL_VECTOR_INPUT_SOURCE "D:/nael/Perco4/Waveform.vwf"
Hasil :

Anda mungkin juga menyukai