Anda di halaman 1dari 16

LAPORAN PRAKTIKUM ELEKTRONIKA DASAR II

SEVEN SEGMENT DAN DRIVER

Nama Mahasiswa : Isti Hermawanti


NIM : 1908066007
Semester/Kelas : 4 / PF A
Prodi : Pendidikan Fisika
Tanggal Percobaan : Jumat, 30/04/2021
Nama Dosen : Fachrizal Rian Pratama, M.Sc
Nama Asisten : Nurul Fatya Suryani
Latifatul Istianah
Teman Kerja : Taufik Mirza (1908066001)
Ayuni Dinda (190806600 )
Via Amalia (190806600 )
Melly Dya (190806600 )

LABORATORIUM ELEKTRONIKA DASAR


FAKULTAS SAINS DAN TEKNOLOGI
UIN WALISONGO SEMARANG
TAHUN 2021
1. Tujuan Percobaan

a. Untuk dapat membuat rangkain driver seven segment

b. Untuk menampilkan angka-angka binner pada seven segment

2. Landasar Teori

Seven segment merupakan tampilan yang terdiri dari tujuh segment (LED atau liquid crystal)

terpisah yang diberi label a sampai g seperti yang diperlihatkan pada gambar 2.1

Gambar 2.1 Seven segment

Agar seven segment dapat menampilkan angka desimal yang sesuai dengan angka biner yang

dimasukkan, maka diperlukan driver (atau decoder) yang disebut BCD to seven segment. Chip

7447 akan output 1 pada a, b, c, d, e, dan f (yang berarti angka 0) jika dimasukkan ABCD nya

adalah 0000, lalu akan memberikan output 1 pada b dan c (yang berarti angka 1) jika masukan

ABCDnya adalah 0001, dan seterusnya, seperti yang ditunjukkan pada Gambar 2.2. (Tim Dosen,

2021).

Gambar 2.2 seven segment


Decoder adalah rangkaian logika yang menerimat input-input biner dan mengaktifkan salah

satu outputnya sesuai dengan urutan biner inputnya. Blok diagram dan rangkaian decoder

diberikan pada gambar 2.3 dibawah:

Gambar 2.3 blok diagram decorder

Beberapa rangkaian decoder yang sering dijumpai adalah decoder 3x8 (3bit input dan 8

output line), decoder 4x16, decoder BCD to decimal (4 bit input dan 10 output line), decoder

BCD to 7 segment (4 bit input dan 8 output line). Khusus untuk BCD to 7 segment mempunyai

prinsip kerja yang berbeda dengan decoder-decoder yang lain,dimana kombinasi dari setiap

inputnyadapat mengaktifkan beberapa output linenya (bukan salah satu line). Salah satu jenis IC

decoder adalah 74138. IC ini mempunyai 3 input biner dan 8 output, dimana nilai output adalah

'1' untuk salah satu dari ke 8 jenis kombinasi imputnya (Beshop,2004).

Dalam elektronik digital, decoder bisa mengambil bentuk input-ganda, multiple-output

sirkuit logika yang mengubah kode masukan menjadi keluaran kode, dimana kode input dan

output berbeda. Misalnya n ke 2n kode biner desimal decoder. Aktifkan input harus selama

decoder berfungsi, jika outputnya menganggap satu "cacat" kata output kode. Decoding

diperlukan dalam aplikasi seperti data multplexing 7 segmen display, dan memori alamat

decoding. Rangkaian input adalah "High" output seperti itu disebut sebagao "output tinggi aktif".

Jika buka gerbang AND, gerbang NAND tersambung output akan menjadi "Low" (0) hanya jika

semua input adalah "Tinggi" output seperti itu disebut sebagai "output rendah aktif"

(Dwihono,1996).
Listrik adalah fenomena rangkaian fisika yang berhubungan dengan kehadiran dan aliran

muatan listrik. Dalam al-quran surat An-nur ayat 35 yang berkaitan dengan fenomena fisika

ُ‫الز َجا َجة‬ ‫ص َبا ٌح ۖ أال ِم أ‬


ُّ ۖ ‫ص َبا ُح فِي ُز َجا َج ٍة‬ ‫ور ِه َك ِم أش َكاةٍ فِي َها ِم أ‬ ِ ‫ت َو أاْل َ أر‬
ِ ُ‫ض ۚ َمثَ ُل ن‬ ِ ‫س َم َاوا‬ ُ ُ‫َّللاُ ن‬
‫ور ال ه‬ ‫ه‬

‫ُضي ُء َولَ أو‬


ِ ‫ار َك ٍة زَ أيتُو َن ٍة ََل ش أَرقِ هي ٍة َو ََل غ أَر ِب هي ٍة َي َكادُ زَ أيت ُ َها ي‬ ٌّ ‫َكأ َ هن َها َك أو َكبٌ د ُِر‬
َ ‫ي يُوقَدُ ِم أن‬
َ ‫ش َج َرةٍ ُم َب‬

ِ ‫َّللاُ أاْل َ أمثَا َل ِلل هن‬


‫اس ۗ َو ه‬
ُ‫َّللا‬ ‫ب ه‬ ُ ‫ور ِه َم أن َيشَا ُء ۚ َو َيض ِأر‬
ِ ُ‫َّللاُ ِلن‬ ٍ ُ‫علَ ٰى ن‬
‫ور ۗ َي أهدِي ه‬ ٌ ُ‫َار ۚ ن‬
َ ‫ور‬ َ ‫لَ أم تَ أم‬
ٌ ‫س أسهُ ن‬

‫ع ِلي ٌم‬ َ ‫ِب ُك ِل‬


َ ٍ‫ش أيء‬

Artinya : Allah (Pemberi) cahaya (kepada) langit dan bumi. Perumpamaan cahaya Allah,

adalah seperti sebuah lubang yang tak tembus, yang di dalamnya ada pelita besar. Pelita itu

di dalam kaca (dan) kaca itu seakan-akan bintang (yang bercahaya) seperti mutiara, yang

dinyalakan dengan minyak dari pohon yang berkahnya, (yaitu) pohon zaitun yang tumbuh

tidak di sebelah timur (sesuatu) dan tidak pula di sebelah barat(nya), yang minyaknya (saja)

hampir-hampir menerangi, walaupun tidak disentuh api. Cahaya di atas cahaya (berlapis-

lapis), Allah membimbing kepada cahaya-Nya siapa yang dia kehendaki, dan Allah

memperbuat perumpamaan-perumpamaan bagi manusia, dan Allah Maha Mengetahui segala

sesuatu.

3. Rumusan Masalah

a. Bagaimana membuat rangkain driver seven segment?

b. Bagaimana menampilkan angka-angka binner pada seven segment ?


4. Hipotesis

Ho : Rangkain driver seven segment tidak sesuai dengan tabel kebenaran.

Ha : Rangkain driver seven segment sesuai dengan tabel kebenaran.

5. Variabel Percobaan

Variabel kontrol : power supplay

Variabel terikat : LED

Variabel bebas : IC 7447

6. Alat dan Bahan

a. Project board (1 buah)

b. IC 7447 (1buah)

c. LED

d. Power supply : 1 buah

7. Langkah-langkah Percobaan
a. Percobaan 1 : Menampilkan bilangan binner dengan seven segment
Rangkaian seven segment dan driver dibuat menggunakan IC 7447, seven segment.

Hasil percobaan dilengkapi pada tabel kebenaran.

Data dianalisis, dibahas dan disimpulkan.

8. Hasil Data Percobaan dan Analisis Data


a. Hasil data percobaan
Tabel pengamatan
INPUT OUTPUT
A B C D
1 0 1 0 5
1 1 1 0 7
0 0 1 0 4
0 1 1 0 6
1 0 0 1 9
0 0 0 1 8
1 1 0 0 3
0 0 0 0 0
1 0 0 0 1

b. Analisis Percobaan
1. Peta karnaugh
• Keluaran 7 segment display a
CD AB 00 01 11 10
00 1 0 d 1
01 0 1 d 1
11 1 1 d d
10 1 0 d d
Aljabar booelan : a = A+𝐵̅ 𝐷
̅ + 𝐵̅ 𝐶 + 𝐵𝐷

• Keluaran 7 segment display b


CD AB 00 01 11 10
00 1 0 d 1
01 0 1 d 1
11 1 1 d d
10 1 0 d d
Aljabar booelan : b = 𝐵̅ + ̅̅̅̅̅
𝐶 𝐷 + 𝐶𝐷
• Keluaran 7 segment display c
CD AB 00 01 11 10
00 1 1 d 1
01 0 0 d 1
11 1 1 d D
10 1 0 d D
Aljabar booelan : c = 𝐵̅ + 𝐶 + 𝐷
• Keluaran 7 segment display d
CD AB 00 01 11 10
00 1 0 d 1
01 0 0 d 1
11 0 0 d D
10 1 0 d d
Aljabar booelan : d = 𝐵̅ 𝐶 + 𝐶̅ 𝐷 + 𝐵̅ 𝐷 + 𝐵𝐶̅ 𝐷
• Keluaran 7 segment display e
CD AB 00 01 11 10
00 1 1 d 1
01 1 1 d 1
11 1 1 d D
10 0 1 d d
̅̅̅̅ + 𝐶̅ 𝐷 + 𝐵̅ 𝐷
Aljabar booelan : e = 𝐵𝐷
• Keluaran 7 segment display f
CD AB 00 01 11 10
00 1 1 d 1
01 1 0 d 1
11 1 1 d D
10 1 0 d d

Aljabar booelan : f = A+ 𝐵𝐶̅ + 𝐶̅ 𝐷 + 𝐵𝐷


̅ + ̅̅̅̅
𝐶𝐷
• Keluaran 7 segment display g
CD AB 00 01 11 10
00 0 1 d 1
01 0 1 d 1
11 1 0 d D
10 1 1 d d
Aljabar booelan : g = A+ 𝐵𝐶̅ + 𝐶̅ 𝐷 + 𝐵̅ 𝐶

c. Analisis Data (output)

• 0000 = (20×0) + (21×0) + (22×0) + (23×0) = 0

• 1000 = (20×1) + (21×0) + (22×0) + (23×0) = 1


• 0100 = (20×0) + (21×0) + (22×1) + (23×0) = 2

• 1100 = (20×1) + (21×1) + (22×) + (23×0) = 2

• 0010 = (20×0) + (21×0) + (22×1) + (23×0) = 4

• 1010 = (20×1) + (21×0) + (22×1) + (23×0) = 5

• 0110 = (20×0) + (21×1) + (22×1) + (23×0) = 6

• 1110 = (20×1) + (21×1) + (22×1) + (23×0) = 7

9. Pembahasan

Prinsip dasar yang mendasari praktikum ini adalah pengubahan kode bilangan biner BCD

(Binary Coded Decimal) menjadi data tampilan untuk penampil/Display 7 segment oleh

Decoder BCD ke 7 Segment. Decoder BCD ke 7 Segment terdiri atas 8 buah LED yaitu

kombinasi huruf a-g dan tanda titik. Sedangkan jenisnya dibedakan menjadi dua yaitu

common anoda dan common katoda.

Pada praktikum ini digunakan IC 7448. IC ini berpasangan dengan seven segmenjenis

common katoda. Pada seven segmen ini, kaki katoda akan terhubung menjadi 1 pin ke

ground sedangkan kaki anoda akan menjadi input. Pin input terdiri dari 4 line input yang

mewakili 4 bit data BCD dengan sebutan jalur input A, B, C dan D. Jalur ouput terdiri atas 7

segmen untuk mendistribusikan data pengkodean ke penampil 7 segmen. Pin output dekoder

BCD ke 7 segmen ini ada 7 pin yang masing-masing diberi nama a, b, c, d, e, f dan g. Jalur

LT (Lamp Test) yang berfungsi untuk menyalakan semua LED pada penampil 7 segmen,

jalur LT akan aktif pada saat diberikan logika LOW pada jalur LT tersebut. Jalur RBI (Riple

Blanking Input) yang berfungsi untuk menahan sinyal input (disable input), jalur RBI akan

aktif bila diberikan logika LOW. Jalur RBO (Riple blanking Output) yang berfungsi untuk

menahan data output ke 0 1 2 3 4 5 6 7 8 9 penampil 7 segmen (disable output), jalur RBO


ini akan aktif pada saat diberikan logika LOW. Dalam aplikasi decoder, ketiga jalur kontrol

(LT, RBI dan RBO) harus diberikan logika HIGH dengan tujuan data input BCD dapat

masuk dan penampil 7 segmen dapat menerima data tampilan sesuai data BCD yang

diberikan pada jalur input.

Berdasarkan hasil praktikum dapat diketahui bahwa angka 0 dihasilkan ketika input

A,B,C,dan D bernilai 0. Hal ini akan mengakibatkan output a,b,c,d,e,dan f menyala

sedangkang g mati. Angka 1 dihasilkan ketika input B,C, dan D terhubung ke ground (low)

sedangkan A dilepas (high). Hal ini akan mengakibatkan output a,d,e,d,dan g mati sedangkan

b dan c menyala. Sedangkan angka 2 dihasilkan ketika input A,C,dan D dihubungkan ke

ground sedangkan A tidak terhubung.Kombinasi ini mengakibatkan output a,b,d,dan e

menyala sedangkan yang lainnya mati.

Ketika input A dan B high serta C dan D low maka output a,b,c,d,dan g akan menyala

sedangkan e dan f mati. Oleh karena itu akan tampak angka 3 di sevent segment display.

Angka 4 terbentuk ketika input A,B,dan D low sedangkan C high. Ini mengakibatkan output

b,c,f,dan g menyala sedangkan yang lainnya mati. Angka 5 dihasilkan ketika input A dan C

dilepas sedangkan Bdan D dihubungkan ke ground. Hal tersebut mengakibatkan output b dan

e mati sedangkan yang lainnya hidup. Sedangkan ketika input B dan C dilepas dan A dan D

dihubungkan ke ground maka output a dan b akan mati sedangkan yang lainnya menyala

sehingga terbentuk angka 6.

Saat input D dihubungkan ke ground dan yang lain dilepas maka output a,b dan c akan

menyala sedangkan yang lainnya mati. Kombinasi ini membentuk angka 7. Ketika input D

dilepas dan yang lain terhubung dengan ground, maka semua output akan menyala sehingga

seven segment menampilkan angka 8. Sedangkan ketika input A dan d dilepas serta B dan C
dihubungkan ke ground maka output d dan e akan mati sedangkan yang lainnya menyala.

Kombinasi ini menampilkan angka 9 pada seven segmen display.

Aplikasi decoder BCD ke 7 segmen dalam kehidupan sehari-hari adalah pada jam digital,

kalkulator, penghitung atau counter digital, multimeter digital dan juga panel display digital

seperti pada microwave oven ataupun pengatur suhu digital . Dan pratikum kali ini dapat

dinyatakan berhasil kapercobaan dapat dinyatakan berhasil karena analisis data perhitungan

sesuai dengan hasil kerja pada proteus 8 professional.

10. Kesimpulan

Prinsip dasar yang mendasari praktikum ini adalah pengubahan kode bilangan biner BCD

(Binary Coded Decimal) menjadi data tampilan untuk penampil/Display 7 segment oleh

Decoder BCD ke 7 Segment. Decoder BCD ke 7 Segment terdiri atas 8 buah LED yaitu

kombinasi huruf a-g dan tanda titik. Sedangkan jenisnya dibedakan menjadi dua yaitu

common anoda dan common katoda.

Pada praktikum ini digunakan IC 7448. IC ini berpasangan dengan seven segmenjenis

common katoda. Pada seven segmen ini, kaki katoda akan terhubung menjadi 1 pin ke

ground sedangkan kaki anoda akan menjadi input. Pin input terdiri dari 4 line input yang

mewakili 4 bit data BCD dengan sebutan jalur input A, B, C dan D. Jalur ouput terdiri atas 7

segmen untuk mendistribusikan data pengkodean ke penampil 7 segmen. Pin output dekoder

BCD ke 7 segmen ini ada 7 pin yang masing-masing diberi nama a, b, c, d, e, f dan g. Jalur

LT (Lamp Test) yang berfungsi untuk menyalakan semua LED pada penampil 7 segmen,

jalur LT akan aktif pada saat diberikan logika LOW pada jalur LT tersebut. Jalur RBI (Riple

Blanking Input) yang berfungsi untuk menahan sinyal input (disable input), jalur RBI akan

aktif bila diberikan logika LOW. Jalur RBO (Riple blanking Output) yang berfungsi untuk
menahan data output ke 0 1 2 3 4 5 6 7 8 9 penampil 7 segmen (disable output), jalur RBO

ini akan aktif pada saat diberikan logika LOW. Dalam aplikasi decoder, ketiga jalur kontrol

(LT, RBI dan RBO) harus diberikan logika HIGH dengan tujuan data input BCD dapat

masuk dan penampil 7 segmen dapat menerima data tampilan sesuai data BCD yang

diberikan pada jalur input.


LAMPIRAN
Daftar Pustaka
Beshop, Owen.2004. Dasar – Dasar Elekronika. Jakarta : Erlangga.
Dwihono.1996. Rangkain Elekrinika Analog .Jakarta : Erlangga.
Tim Dosen. 2021. Modul Praktikum Elektronika Dasa II. Semarang : UIN Walisongo.

Anda mungkin juga menyukai