Anda di halaman 1dari 15

SEVEN SEGMENT DISPLAY

Tugas FPGA
Seven Segmen Display adalah merupakan suatu rangkaian komponen logika digital yang dapat
menampilkan suatu karakter yang berupa angka-angka dan huruf sehingga dapat dimengerti oleh
manusia. Pada seven segment terdapat 7(tujuh) dioda berupa LED(Light Emitting Diode) dan
membentuk suatu karakter dengan menyalanya LED sebagai penanda.

Berikut ini adalah contoh seven segment pada gambar di bawah ini :

Salah satu cara untuk menghasilkan sinyal-sinyal pengendali dari suatu seven segment display
yaitu dengan menggunakan sebuah sevent-segment decoder. Seven-segment decoder
membutuhkan 4 input sebagai angka berbasis heksadesimal yang dinyatakan dalam bahasa mesin
(bilangan berbasis biner) kemudian sinyal-sinyal masukan tersebut akan ke decoder dan ke
dalam sinyal-sinyal pengendali seven-segment display. Sinyal-sinyal pengendali berisi 7 sinyal
yang setiap sinyalnya mengatur aktif-tidaknya setiap LED.

Berikut ini adalah table kebenaran dari seven segment :

Masing – masing bit keluaran a, b, c, d, e, f, dan g dapat dinyatakan sebagai berikut :


Berikut ini adalah contoh program :

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity test is
port (
clk : in std_logic;
bcd : in std_logic_vector(3 downto 0); --BCD input
segment7 : out std_logic_vector(6 downto 0) -- 7 bit decoded output.
);
end test;
--'a' corresponds to MSB of segment7 and g corresponds to LSB of segment7.
architecture Behavioral of test is
begin
process (clk,bcd)
BEGIN
if (clk'event and clk='1') then
case bcd is
when "0000"=> segment7 <="0000001"; -- '0'
when "0001"=> segment7 <="1001111"; -- '1'
when "0010"=> segment7 <="0010010"; -- '2'
when "0011"=> segment7 <="0000110"; -- '3'
when "0100"=> segment7 <="1001100"; -- '4'
when "0101"=> segment7 <="0100100"; -- '5'
when "0110"=> segment7 <="0100000"; -- '6'
when "0111"=> segment7 <="0001111"; -- '7'
when "1000"=> segment7 <="0000000"; -- '8'
when "1001"=> segment7 <="0000100"; -- '9'
--nothing is displayed when a number more than 9 is given as input.
when others=> segment7 <="1111111";
end case;
end if;

end process;

end Behavioral;

berikut ini adalah contoh gerbang logika pada seven segment :


Menampilkan data dengan 7 segment
Diposkan oleh Bayu Sasongko
Kirimkan Ini lewat EmailBlogThis!Berbagi ke TwitterBerbagi ke FacebookBagikan ke Pinterest

Bingung nch mau ngapain minggu-minggu menunggu seminar skripsi akhirnya teringat ada temen
kampus yang tanya tentang 7 segment mulai dech membongkar file-file di komputer tentang 7
segment yang udah sy buat dulu. ok sebelumnya kita harus tahu dulu apa itu seven segment.
Ringkasnya 7 segment merupakan komponen yang berfungsi sebagai penampil karakter angka dan
karakter huruf. 7 segment tersusun atas led-led. lihat gambar dibawah ini untuk lebih jelasnya.
Dari gambar diatas ada 2 jenis 7 segment yaitu common cathode dan common anode. perbedaanya
cuma cara menghidupkan 7 segment tersebut. Untuk common cathode pin kaki a b c d e f g harus
diberi tegangan vcc dan pin gnd di hubungkan ke ground agar 7 segment menyala. Sedangkan
untuk common anode pin a b c d e f g harus dihubungkan ke ground dan pin vcc di hubungkan ke
vcc agar 7 segment menyala (lihat gambar diatas).

1.Project1(Menampilkanangka0-9)

Pada project 1 ini kita mengendalikan 7 segment ini menggunakan mikrokontroller. Buat rangkaian
berikut ini bisa langsung menggunakan real mikrokontroller atau menggunakan proteus sebagai
simulasi.

Komponen yang dipakai ATmega 8535 dan 7 segment jenis common cathode. pin a b c d e f g pada
7 segment dihubungkan di PORTC sedangkan pin gnd dihubungkan di PORTD 3 (port yang dipakai
ini bisa anda rubah sesuai kebutuhan).

Selanjunya kita akan menuliskan angka 0 - 9 pada 7 segment dengan 7 segment berjumlah satu.
Bagaimana caranya..? lihat skematik isi dari 7 segment pada gambar diatas. Untuk angka 1 maka
yang perlu dihidupkan adalah pin b dan c pada 7 segment sehingga di mikrokontroller kita perlu
mengeset PORTB 1 dan PORT B 2 dengan nilai 1 dan PORT D3 dengan nilai 0 ( PORTD 3 sebagai
grn maka diberi nilai 0) , angka 2 yang perlu dihidupkan adalah pin a b g e d pada 7 segment.
demikian seterusnya sampai angka 9. program di CAVR sebagai berikut :
Spoiler :

2. Project 2 (menampilkan angka sampai ribuan)

Pada project ini kita menggunakan 4 buah 7 segment yang mampung menampilkan nilai pada
variabel tertentu. Buat rangkaian seperti dibawah ini :

Dari gambar diatas terlihat bahwa 7 segment terhubung paralel antara yang satu dengan yang lain.
Dalam menampilkan data dengan susunan 7 segment seperti ini kita perlu menggunakan teknik
scaning. apa itu teknik scaning...? kita kembali dulu pada project 1, pada project tersebut
seandainya kita ingin menambah 7 segment tapi dilain port misal PORTD memang bisa tetapi hal itu
tentu sangat boros. Bayangkan seandainya kita perlu menambah 4 seven seven segment, berapa
port banyaknya yang kita butuhkan...? tentu banyak khan....karena 1 seven segment membutukan 8
PORT pada mikrokontroller. Maka untuk menghemat PORT kita menggunakan teknik scaning ini.
Pada teknik ini sebenarnya yang kita kendalikan adalah kolom mana yang hidup. Ok lihat gambar
diatas misal kita ingin menampilkan nilai 4657 maka pertama-tama kita kirimkan nilai 7 pada
PORTC, maka dapat dipastikan bahwa keempat seven segment menunjukkan angka 7. namun
yang kita inginkan adalah nilai satuannya maka kita kendalikan kolomnya yaitu dengan mengatur
PORTD. Lihat seven segment yang kita ingin hidupkan hanya yang paling kanan (satuan) maka
PORTD0 kita beri nilai 0 dan PORTD1,PORTD2,PORTD3 diberi angka 1 sehingga hanya seven
segment yang paling kanan yang hidup. Begitu seterusnya untu seven segment yang menunjukkan
angka puluhan maka PORTD1 diberii nilai 0 sedangkan PORTD yang lain 1. Untuk coding
lengkapnya silahkan lihat dibawah ini :

Spoiler :

3. Project 3 (Counter up dan Counter down)

Sekarang rangkaian kita modif untuk counter up dan counter down. Tambah switch push button
misal pada PORTB. Rubah DDRB menjadi intput (DDRB=0x00;) aktifkan internal pull up
(PORTB=0xFF;). buat rangkaian seperti dibawah ini :
programnya sebagai berikut :

Spoiler :

4. Project 4 (Menampilkan data ADC)

Selanjutnya kita modif rangkaian diatas untuk menampilkan data ADC ( analog to digital konverter).
Dalam percobaan ini menggunakan potensiometer untuk mendapatkan tegangan output yang akan
dirubah menjadi nilai digital. Buat rangkaian seperti dibawah ini :

Programnya sebagai berikut :

Spoiler :

5. Project 5 (Menampilkan data sensor suhu LM35)

Setelah kita dapat menampilkan data ADC, sekarang kita naik lagi yaitu membuat termometer digital
dengan sensor suhu LM35 dan menampilkannya dengan seven segment. Buat rangkaian seperti
dibawah ini:
Pengertian, manfaat dan cara kerja 7Segment
Mungkin sebagian anda sering bertanya-tanya Apa 7segment, kegunaan dari7segment dan bagai
mana cara kerjanya? Oke kali kini kita bahas sedikit tentang7segment.

A B C D E F G d Menampilkan angka
p

0 0 0 0 0 0 1 0 0

1 0 0 1 1 1 1 0 1

0 0 1 0 0 1 0 0 2

0 0 0 0 1 1 0 0 3

1 0 0 1 1 0 0 0 4

0 1 0 0 1 0 0 0 5

0 1 0 0 0 0 0 0 6

0 0 0 1 1 1 1 0 7

0 0 0 0 0 0 0 0 8

0 0 0 0 1 0 0 0 9

7segment adalah komponen yang berfungsi menampilkan karakter angka. dalam7segment juga
dilegkapi dp (dot poin) untuk menampilkan koma atau titik pada saat menampilkan bilangan.
Kegunaan 7segment biasanya untuk jam digital, stopwacth, traffic light display yang ada di
perempatan jalan dll. Dan untuk cara kerjanya saya akan sedikit sharing tentang pengetahuan saya,
jika ada yang kurang pas atau kurang legkap bisa di tambahin. Di bagian 7segments terbagi 8
bagian yang sudah ada bagiannya sendiri-sendiri (A,B,C,D,E,F,G dan dp) bisa lihat gambar di bawah
ini

Misal untuk menampilkan angka 1, maka yang harus hidup dibagian (B, C,). untuk angka 2 (A, B, D,E,
G) dan seterusnya. Tabel Biner untuk menyusun angka 0-9

Ket

0=
hidup

1 = mat

dp = ttk yang ada di sebelah angka

Sedikit saya terangkan misal kita akan menampilkan angka 1 di tabel itu tertera biner 10011110(lihat
di bagian yamg menampilkan angka 1) jika kita urutkan A=1, B=0, C=0, D=1, E=1, F=1, G=1 dan
angka biner terakhir adalah dp (0). B dan C hidup sedangkan A,D,E,F,G mati dan menampilkan
output angka 1

Pembuatan progamnya bisa dengan bahasa apa saja namun disini saya memakaibahasa C,chip AT
Mega 32, clock 12.0000000/Hmz

#include <mega32.h>
#include <delay.h>

void main (void){

DDRA=255;
while (1){

PORTA=0b00000010;
delay_ms(1000);
PORTA=0b10011111;
delay_ms(100);
PORTA=0b00100100;
delay_ms(1000);
PORTA=0b00001100;
delay_ms(1000);
PORTA=0b10011000;
delay_ms(1000);
PORTA=0b01001000;
delay_ms(1000);
PORTA=0b01000000;
delay_ms(1000);
PORTA=0b00011110;
delay_ms(1000);
PORTA=0b00000000;
delay_ms(1000);
PORTA=0b00001000;
delay_ms(1000);
}
}

engertian Seven Segmen

Selasa, 18 Desember 2012

‫حيِم‬
‫ن اارر ن‬
‫م ن‬
‫ح م‬
‫ﷲالرر ح‬
‫ســــــــــــــــم ن ا ﷲ‬
‫بن ح‬

Pengertian Seven Segmen


Seven Segment adalah suatu segmen-segmen yang digunakan menampilkan angka.
Seven segment ini tersusun atas 7 batang led yang disusun membentuk angka 8 dengan
menggunakan huruf a s/d g yang disebut dot matrix. Setiap segmen ini terdiri dari 1 atau 2
Light Emitting Diode ( LED ). Seven Segment merupakan gabungan dari 7 buah LED (Light
Emitting Diode) yang dirangkaikan membentuk suatu tampilan angka seperti yang terlihat
pada gambar di bawah ini.

P
A typical 7-segment LED display component, with decimal point.

The individual segments of a seven-segment display.

Prinsip Kerja

Prinsip kerja seven segmen ialah input biner pada switch dikonversikan masuk ke dalam
decoder, baru kemudian decoder mengkonversi bilangan biner tersebut menjadi decimal,
yang nantinya akan ditampilkan pada seven segment. Prinsip kerja seven segment ialah
input biner pada switch dikonversikan masuk ke dalam decoder, baru kemudian decoder
mengkonversi bilangan biner tersebut menjadi decimal, yang nantinya akan ditampilkan
pada seven segment.

Pada rangkaian tersebut dapat anda perhatikan bagian seven segmen, karena seven
segmen yang digunakan adalah common anoda, maka segmen tersebut dapat nyala
apabila mendapat logika '0' pada bagian katoda. Dengan kata lain untuk menghidupkan
seven segmen yang terkoneksi ke mikrokontroler port paralel maka harus dioutputkan
logika '0'.Sehingga pada contoh tersebut, agar dapat ditampilkan angka 3 pada seven
segmen maka port P0 harus mengeluarkan data 00110000b. Untuk angka SATU (1) maka
satu sisi yang aktif dengan 2 segment yaitu b dan c. Untuk angka NOL (0) maka empat sisi
yang aktif dengan 6 segment yaitu a,b,c,d,e dan f.

Tabel berikut ini memberikan bilangan hexadecimal untuk menampilakan angka 0 sampai 9:

Digit gfedcba abcdefg a b c d e f G

0 0x3F 0x7E on on on on on on Off

1 0x06 0x30 off on on off off off Off

2 0x5B 0x6D on on off on on off On

3 0x4F 0x79 on on on on off off On

4 0x66 0x33 off on on off off on On

5 0x6D 0x5B on off on on off on On

6 0x7D 0x5F on off on on on on On

7 0x07 0x70 on on on off off off off

8 0x7F 0x7F on on on on on on on

9 0x6F 0x7B on on on on off on on

Jenis-Jenis Seven Segment


Seven segmen, merupakan sekumpulan LED yang dibangun sedemikian rupa sehingga
menyerupai digit, seven segmen ada dua macam: common anoda dan common katoda.

1. COMMON ANODA

Disini, semua anoda dari diode disatukan secara parallel dan semua itu dihubungkan ke
VCC dan kemudian LED dihubungkan melalui tahanan pembatas arus keluar dari
penggerak. Karena dihubungkan ke VCC, maka COMMON ANODA ini berada pada kondisi
AKTIF HIGH.

2. COMMON KATODA

Disini semua katoda disatukan secara parallel dan dihubungkan ke GROUND. Karena
seluruh katoda dihubungkan ke GROUND, maka COMMON KATODA ini berada pada
kondisi AKTIF LOW.

Seven Segment terdiri dari 2 jenis, yaitu Common Katode (kaki katoda dihubungkan
bersama) dan Common Anode (kaki anoda dihubungkan bersama).

Penyusun dari COMMON

1. Decoder yaitu suatu alat yang berfungsi mengubah/ mengkoversi input bilangan biner
menjadi decimal.
2. Encoder yaitu suatu alat yang berfungsi mengubah/ mengkoversi input bilangan desimal
menjadi biner.

3. Multiplexer adalah Suatu rangkaian kombinasi yang ouputnya mempunyai logika sama
dengan jalur input yang ditunjuk pada selector. Multiplexer ini memiliki banyak input dan
memiliki satu output. Prinsip kerjanya sama dengan saklar pemilih dai 2n buah inputdipilih
melalui n buah jalur pemilih (DATA SELECT).

4. Demultiplexer adalah suatu rangkain kombinasi yang bersifat berkebalikan dari


multiplexer. Rangkaian ini memiliki satu input dan memiliki banyak keluaran (output).
Rangkaian ini akan menghasilkan output high (1) pada jalur yang sesuai dengan yang
ditunjuk oleh selector
- See more at: http://it-kopl4k.blogspot.com/2012/12/pengertian-seven-segmen.html#sthash.yT5OTFYl.dpuf

Anda mungkin juga menyukai