Anda di halaman 1dari 19

PERCOBAAN III

SEVEN SEGMENT

A. TUJUAN PERCOBAAN
1. Memahami definisi Seven Segment
2. Memahami prinsip kerja Seven Segment

B. BAHAN DAN ALAT


1. Komputer/Laptop
2. Aplikasi Electronics Workbench

C. DASAR TEORI
Piranti tampilan modern disusun sebagai pola 7-segmen atau dot matriks.
Jenis 7-segmen, sebagaimana namanya, menggunakan pola tujuh batang yang
disusun membentuk angka 8 seperti ditunjukkan pada gambar 1. Huruf-huruf
yang diperlihatkan dalam Gambar 1 ditetapkan untuk menandai segmen-segmen
tersebut. Dengan menyalakan beberapa segmen yang sesuaiakan dapat
diperagakan digit-digit dari 0 sampai 9, juga bentuk huruf A sampai F
(dimodifikasi).
Sinyal input dari switches tidak dapat langsung dikirimkan keperaga 7-
segmen, sehingga harus menggunakan decoder BCD ke 7-segmen sebagai antar
muka. Decoder ini terdiri dari gerbang-gerbang logika yang masukannya berupa
digit BCD dan keluarannya berupa saluran-saluran untuk mengemudikan
tampilan 7-segmen.

f b
g

e c

d
Gambar 1.
Bentuk tampilan 7-segmen dengan huruf – huruf segmennya.
a

f b
g

e c

d
Gambar 2.
Bentuk tampilan 7-segmen yang menyala membentuk desimal dua

Bila segmen a, b, g, e, dan d menyala maka desimal dua ditampilkan.


Bila segmen a sampai g menyala maka decimal delapan akan ditampilkan.
( Budiono Mismail . 1999. Dasar-Dasar Rangkaian Logika Digital. Bandung
ITB.)

Adapun jenis-jenis 7-Segment.


1. Common Anoda
Common Anoda adalah penggabungan kaki-kaki anoda dari
komponen-komponen. Artinya, mereka membutuhkan Arus positif.
Untuk dapat menjalankan fungsinya (dalam hal pulsa, saat LOW[1] ).
Karena kaki negatif, berarti membutuhkan arus postif. Sehingga
komponen dengan common anoda dapat dijalankan dengan tegangan 3-5
volt. Common anoda merupakan pin yang terhubung dengan semua kaki
anoda.
2. Common Katoda
Common Katoda adalah penggabungan kaki-kaki katoda dari
komponen-komponen. Artinya, mereka membutuhkan Arus negative
untuk dapat menjalankan fungsinya (dalam hal sinyal, membuat menjadi
HIGH[1] ). Karena kaki-kaki yang terhubung positif, berati
membutuhkan arus negatif. Sehingga komponen dengan common katoda
dapat dijalankan dengan tegangan 0-2,5 volt.

Berikut komponen tambahan untuk proses 7-Segmen, sebagai berikut.

a. Decoder
Decoder adalah suatu rangkaian kombinasional yang
mengkonversikan informasi.[1], Decoder juga dapat diartikan sebagai suatu
rangkaian digital yang merubah bilangan biner menjadi bilangan decimal
dimana Rangkaian logika decoder menerima input-input dalam bentuk biner
dan mengaktifkan salah satu outputnya sesuai dengan urutan biner inputnya.
Pada dasarnya decoder merupakan kumpulan gerbang logika AND
sehingga dapat digunakan sebagai pembangkit fungsi.
Pada umumnya Decoder biasanya memiliki saluran enable. Saluran enable
berfungsi untuk mengaktifkan dan menonaktifkan decoder. Didalam
Decoder Terdapat 2 jenis pengkaktifan yaitu: aktif high dan aktif low. Pada
decoder dengan saluran enable aktif high, jika enable = 0 maka decoder
off. Berarti semua saluran output akan bernilai nol. Jika enable = 1 maka
decoder on dan sesuai dengan inputnya, saluran output yang aktif akan
1, dan yang lainnya 0.

Gambar 3.
Rangkaian Decoder
b. Encoder
Encoder adalah suatu fungsi digital yang mempunyai operasi
kebalikan dari Decoder. Encoder menghasilkan output dalam bentuk bit.
Syarat yang harus dipenuhi adalah bahwa input harus berupa word biner
yang ekivalen dengan bilangan decimal 2 (1,2,4,6,16,..) sehingga Encoder
hanya berguna dalam bentuk priority encoder yang hanya memperoleh
prioritas data tertinggi untuk di kodekan.
Suatu decoder atau pendekode adalah system yang menerima kata M bit
akanmenetapkan keadaan 1 pada salah satu (dan hanya satu) dari 2m
saluran keluaran yang tersedia. Dengan kata lain fungsi suatu decoder
adalah mengidentifikasi atau mengenali suatu kode terntu. Proses
kebalikannya disebut pengkodean (encoding). Suatu pengkode atau encoder
memiliki sejumlah masukan, dan pada saat tertemtu hanya salah satu dari
masukan masukan itu yang berada pada keluaran 1 dan sebagai akibatnya
suatu kode Nbit akan dihasilkan sesuai dengan masukan khusus yang
dieksitasi.
Gambar 4.
Rangkaian Encoder
____________________
[1]
Anang Dasa Novfowan. Dasar-dasar Teknik Digital. Malang. 2000.
Hlm. 60
[1]
Anang Dasa Novfowan. Dasar-dasar Teknik Digital. Malang. 2000.
Hlm. 66
c. Demultiplexer
Demultiplexer adalah suatu rangkaian yang menerima informasi
dari satu jalur (satu terminal) dan mentransfer informasi tersebut ke salah
satu dari 2n kemungkinan jalur output. Perubahan fungsi dari Decoder
menjadi Demultiplexer
dapat dilihat pada blok diagram dibawah ini menggunakan Decoder 2 ke
4 jalur.

Gambar 5.
Demultiplexer
d. Multiplexer
Multiplexing berarti pengiriman (transmisi) satu unit informasi dalam
jumlah besar melalui jumlah chanel/jalur yang lebih sedikit. Digital
Multiplexer adalah suatu rangkaian kombinasional yang memilih
informasi biner dari beberapa jalur input dan mengeluarkan satu jalur
output. Seleksi untuk jalur input tertentu dikontrol oleh satu set jalur
seleksi.
Gambar 6.
Multiplexer

____________________
[1]
Anang Dasa Novfowan. Dasar-dasar Teknik Digital. Malang. 2000.
Hlm. 63
[1]
Anang Dasa Novfowan. Dasar-dasar Teknik Digital. Malang. 2000.
Hlm. 67
D. PELAKSANAAN PERCOBAAN
1. Buka aplikasi Electronics Workbench
2. Buka menu Basic. Pilih icon Switch, kemudian drag ke workspace :

3. Buka menu indicator. Pilih Decoded seven segment display dan Red Probe
lalu drag ke workspace :

4. Buka menu source. Pilih Icon ground dan Vcc Voltage Souce, kemudian drag
ke workspace :

5. Rangkai seperti rangkaian berikut.

6. Kemudian, klik power di pojok kanan atas untuk melihat hasil Running :
E. DATA HASIL
Gambar rangkaian

Tabel kebenaran seven segmen


No. INPUT OUTPUT TAMPILAN
HEXA
D C B A a b C d E f g

1. 0 0 0 0 1 1 1 1 1 1 0

2. 0 0 0 1 0 1 1 0 0 0 0

3. 0 0 1 0 1 1 0 1 1 0 1

4. 0 0 1 1 1 1 1 1 0 0 1

5. 0 1 0 0 0 1 1 0 0 1 1

6. 0 1 0 1 1 0 1 1 0 1 1

7. 0 1 1 0 1 0 1 1 1 1 1

8. 0 1 1 1 1 1 1 0 0 0 0

9. 1 0 0 0 1 1 1 1 1 1 1
10. 1 0 0 1 1 1 1 1 0 1 1

11. 1 0 1 0 1 1 1 0 1 1 1

12. 1 0 1 1 0 0 1 1 1 1 1

13. 1 1 0 0 1 0 0 1 1 1 0

14. 1 1 0 1 0 1 1 1 1 0 1

15. 1 1 1 0 1 0 0 1 1 1 1

16. 1 1 1 1 1 0 0 0 1 1 1
F. ANALISA DATA
F.1 Analisa Rangkaian

Rangkaian Seven Segment diatas menggunakan 4 buah switch yaitu


A, B, C, dan D sebagai masukan yang nantinya akan dihubungkan menuju
Vcc dan Ground, kemudian ada 4 buah red probe sebagai indikator untuk
setiap masukan dan akan dihubungkan menuju Decoded seven segment
display sebagai tampilan Hexadesimal nya.
Prinsip kerja pada rangkaian tersebut yaitu setiap masukan A,B,C,D
yang diberi red probe sebagai indikator logikanya kemudian akan
dihubungkan menuju seven segment decoder yang bertugas sebagai
pengendali sinyal-sinyal dari seven segment display, bilangan yang berbasis
biner dari masukan A,B,C,D akan diterjemahkan decoder ke dalam sinyal-
sinyal pengendali yakni berisi 7 sinyal dan setiap sinyalnya mengatur aktif
tidaknya setiap LED, sehingga LED tersebut dapat menampilkan bilangan
decimal (0,1,2,3,4,5,6,7,8,9) maupun heksadesimal (A,b,C,d,E,F).
F.2 Analisa Tampilan
NO ANALISA TAMPILAN
1. Data menampilkan angka 0, dengan inputan A=0,
B=0, C=0, dan D=0.
MSB0000 LSB = (0x20)+(0x21)+ (0x22)+(0x23)
= 0+0+0+0
=0
Lalu dihubungkan dengan decorder maka segmen
yang menyala adalah a,b,c,d,e,f , sedangkan segmen
g mati, sehingga seven segmen menampilkan output
angka 0.
Data menampilkan angka 1, dengan inputan A=1,
2. B=0, C=0, dan D=0.
MSB0001LSB = (0x23) (0x22)+ (0x21)+ (1x20)
= 0+0+0+1
=1
Lalu dihubungkan dengan decorder maka segment
yang menyala b dan c saja, sedangkan segmen
a,d,e,f,g mati, sehingga segmen menampilkan output
angka 1.
Data menampilkan angka 2, dengan inputan A=0,
3. B=1, C=0, dan D=0.
MSB0010LSB = (0x23) +(0x22)+ (1x21 )+(0x20)
= 0+0+2+0
=2
Lalu dihubungkan dengan decorder, maka seven
segment yang menyala adalah a,b,d,e ,g sedangkan c
dan f mati, sehingga segmen menampilkan output
angka 2
Data menampilkan angka 3, dengan inputan A=1,
4. B=1, C=0, D=0.
MSB0011LSB = (0x23) +(0x22)+ (1x21 )+(1x20)
= 0+0+2+1
=3
Lalu dihubungkan dengan decorder, maka seven
segment yang menyala adalah a,b,c,d,g sedangkan e
dan f mati, sehingga segmen menampilkan output
angka 3.

Data menampilkan angka 4, dengan inputan A=0,


5. B=0, C=1, dan D=0.
MSB0100LSB = (0x23) +(1x22)+ (0x21 )+(0x20)
= 0+4+0+0
=4
Lalu dihubungkan dengan decorder, maka seven
segment yang menyala adalah a,b,c,f,g sedangkan d
dan e mati, sehingga segmen menampilkan output
angka 4.
Data menampilkan angka 5, dengan inputan A=1,
6. B=0, C=1, dan D=0.
MSB0101LSB = (0x23) +(1x22)+ (0x21 )+(1x20)
= 0+4+0+1
=5
Lalu dihubungkan dengan decorder, maka seven
segment yang menyala adalah a,c,d,f,g sedangkan b
dan e mati, sehingga segmen menampilkan output
angka 5
Data menampilkan angka 6, dengan A=0, B=1, C=1,
7. dan D=0.
MSB 0110 LSB = (0x23) +(1x22)+ (1x21 )+(0x20)
= 0+4+2+0
=6
Lalu dihubungkan dengan decorder, maka seven
segment yang menyala adalah a,c,d,e,f, g sedangkan f
mati, sehingga segmen menampilkan output angka 6
Data menampilkan angka 7, inputan A=1, B=1, C=1,
8. dan D=0.
MSB0111LSB = (0x23) +(1x22)+ (1x21 )+(1x20)
= 0+4+2+1
=7
Lalu dihubungkan dengan decorder, maka seven
segment yang menyala adalah a,b,c,d sedangkan e,f,g
mati, sehingga segmen menampilkan output angka 7

Data menampilkan angka 8,dengan inputan A=0,


9. B=0, C=0, dan D=1
MSB1000LSB = (1x23) +(0x22)+ (0x21 )+(0x20)
= 8+0+0+0
=8
Lalu dihubungkan dengan decorder, maka semua
seven segment akan menyala, sehingga segmen
menampilkan output angka 7
Data menampilkan angka 9,dengan inputan A=1,
10. B=0, C=0, dan D=1.
MSB1001LSB = (1x23) +(0x22)+ (0x21 )+(1x20)
= 8+0+0+1
=9
Lalu dihubungkan dengan decorder, maka seven
segment a,b,c,d,f,g akan menyala, sedangkan e mati,
sehingga segmen menampilkan output angka 7.
Data menampilkan huruf A, dengan inputan A=0,
11. B=1, C=0, dan D=1.
MSB1010 LSB = (1x23) +(0x22)+ (1x21 )+(0x20)
= 8+0+2+0
= 10
Dalam bilangan heksadesimal, nilai decimal 10 jika
dikonversi menuju heksadesimal, maka akan bernilai
A.
Lalu dihubungkan dengan decorder, maka seven
segment a,b,c,e,f,g akan menyala, sedangkan d mati,
sehingga segmen menampilkan output huruf A
Data menampilkan huruf b, dengan inputan A=1,
12. B=1, C=0, dan D=1.
MSB1011LSB = (1x23) +(0x22)+ (1x21 )+(1x20)
= 8+0+2+1
= 11
Dalam bilangan heksadesimal, nilai decimal 11 jika
dikonversi menuju heksadesimal, maka akan bernilai
b.
Lalu dihubungkan dengan decorder, maka seven
segment c,d,e,f,g akan menyala, sedangkan a dan b
mati, sehingga segmen menampilkan output huruf b.
Data menampilkan huruf C, dengan inputan A=0,
13. B=0, C=1, dan D=1.
MSB1100LSB = (1x23) +(1x22)+ (0x21 )+(0x20)
= 8+4+0+0
= 12
Dalam bilangan heksadesimal, nilai decimal 12 jika
dikonversi menuju heksadesimal, maka akan bernilai
C.
Lalu dihubungkan dengan decorder, maka seven
segment a,d,e,f akan menyala, sedangkan b,c,g mati,
sehingga segmen menampilkan output huruf C.
Data menampilkan huruf d, dengan inputan A=1,
14. B=0, C=1, dan D=1.
MSB1101LSB = (1x23) +(1x22)+ (0x21 )+(0x20)
= 8+4+0+1
= 13
Dalam bilangan heksadesimal, nilai decimal 13 jika
dikonversi menuju heksadesimal, maka akan bernilai
d.
Lalu dihubungkan dengan decorder, maka seven
segment b,c,d,e,f,g akan menyala, sedangkan a mati,
sehingga segmen menampilkan output huruf d.
Data menampilkan huruf E, dengan inputan A=0,
15. B=1, C=1, dan D=1.
MSB1110LSB = (1x23) +(1x22)+ (1x21 )+(0x20)
= 8+4+2+0
= 14
Dalam bilangan heksadesimal, desimal 14 jika
dikonversi menuju heksadesimal, akan bernilai E.
Lalu dihubungkan dengan decorder, maka seven
segment a,d ,e,f,g akan menyala, sedangkan b dan c
mati, sehingga segmen menampilkan output huruf E.
Data menampilkan huruf A, dengan inputan A=1,
16. B=1, C=1, dan D=1.
MSB1111LSB = (1x23) +(1x22)+ (1x21 )+(1x20)
= 8+4+2+1
= 15
Dalam bilangan heksadesimal, nilai decimal 15 jika
dikonversi menuju heksadesimal, maka akan bernilai
F.
Lalu dihubungkan dengan decorder, maka seven
segment a,e,f,g akan menyala, sedangkan a,b,d mati,
sehingga segmen menampilkan output huruf F.
E. KESIMPULAN
1. Seven segment adalah 7 segmen yang digunakan sebagai display visual
untuk menampilkan angka atau desimal yang menggunakan inputan kode
biner. Jenis-jenis seven segment:
a. Common Anoda merupakan salah satu jenis seven segmen dimana
semua anado dari LED disatukan secara paralel dan senua itu
dihubungkanke Vcc.
b. Cammon katada merupakan salah satu jenis seven segmen dimana
semua kaki katoda dari LED disatuka secara paralel dan semua itu
dihubungkan ke ground.
2. Dengan menyalakan beberapa segmen yang sesuai akan dapat diperagakan
digit-digit dari 0 sampai 9, juga bentuk huruf A sampai F (dimodifikasi).
Sinyal input dari switches tidak dapat langsung dikirimkan keperaga 7-
segmen, sehingga harus menggunakan decoder BCD ke 7-segmen sebagai
antar muka. Decoder ini terdiri dari gerbang-gerbang logika yang
masukannya berupa digit BCD dan keluarannya berupa saluran-saluran
untuk mengemudikan tampilan 7-segmen. Jika ingin menampilkan nilai
hexa dapat dilakukan input pada switch dengan menggunakan common
katoda, sehingga bila diberi logika 1 maka akan output akan menyala
sedangkan output akan off/mati bila diberi logika 0. Tampilan output yang
akan dihasilkan akan sesuai dengan inputan yang di masukan di A,B,C,D
maka seven segmen akan menampilkan output seperti perintah inputnya.
DAFTAR PUSTAKA

Anonim. 2020. Modul Praktikum Rangkaian Logika. Laboratorium Elektronika dan


Digital. Jurusan Teknik Elektro, Fakultas Teknik, Universitas Mataram.

Mismai Budiono 1999. “ Dasar-Dasar Rangkaian Logika Digital “Institut Teknologi


Bandung, Bandung.

Novfowan, Anang Dasa. 2000 .” Dasar-dasar Teknik Digital ”. Malang. Hlm 60,63,
67.
LABORATORIUM ELEKTRONIKA DIGITAL
JURUSAN TEKNIK ELEKTRO
FAKULTAS TEKNIK UNIVERSITAS MATARAM
Jl. Majapahit No.62 Telp. (0370) 636126 Mataram 83125

LEMBAR ASISTENSI
PRAKTIKUM RANGKAIAN LOGIKA

NAMA :
NIM :
KELOMPOK : 4
No Hari/Tanggal Koreksi Paraf
1. Minggu,5 Juli 2020 + Coomon anoda dan katoda pada
dasteo
+ prinsip kerja encoder
-Font
+Gambar rangkaian
+Perhitungan biner

2. Senin,6 juli 2020


-Rapikan Laporan
+ Keterangan LSB dan MSB
+Kesimpulan
+ Daftar pustaka

3. Selasa,7 Juli 2020


-Rapikan laporan
-Perbaiki kesimpulan
Mataram, 7 Juli 2020 Assisten,

Lista Intan Pratiwi


NIM. F1B017052

Anda mungkin juga menyukai