Anda di halaman 1dari 15

LAPORAN PRAKTIKUM

TEKNIK DIGITAL
MODUL IX : DECODER

DISUSUN OLEH :
Adi Widya Sekar Kinanti
(22110201016)
Praktikum tanggal : 22 Desember 2022
Asisten Praktikum :
1. Leliza Febrianti Cahyani'syah (20201024)
2. Nanda Annisa (20201012)
3. Galih Khairi Nurcahyo (21201019)
4. Dinar Romauli Sagala (19101156)

LABORATORIUM ELEKTRONICS DAN INTERNET OF EVERYTHING (IoE)


FAKULTAS TEKNIK TELEKOMUNIKASI DAN ELEKTRO (FTTE)
INSTITUT TEKNOLOGI TELKOM
JL. D.I. PANJAITAN 128 PURWOKERTO
2022
MODUL IX
DECODER
I. TUJUAN PRAKTIKUM
1. Mengenal, mengerti dan memahami operasi dasar pemecah sandi
(decorder).
2. Mengenal beberapa jenis IC decoder.
II. ALAT DAN BAHAN
1. Modul perangkat praktikum tekinik digital
2. Seven segment
3. Kabel penghubung
4. IC 7490
III. DASAR TEORI
Decoder adalah suatu rangkaian logika yang berfungsi untuk
mengkonversikan kode yang kurang dikenal manusia kedalam kode yang
lebih dikenal manusia. Decoder adalah alat yang di gunakan untuk dapat
mengembalikan proses encoding sehingga kita dapat melihat atau menerima
informasi aslinya. Decoder juga dapat di artikan sebagai rangkaian logika
yang di tugaskan untuk menerima input-input biner dan mengaktifkan salah
satu outputnya sesuai dengan urutan biner tersebut. Rangkaian decoder
mempunyai sifat yang berkebalikan dengan encoder yaitu merubah kode
biner menjadi sinyal diskrit [1].
Fungsi decoder adalah untuk memudahkan kita dalam menyalakan
seven segment. Itulah sebabnya kita menggunakan decoder agar dapat
dengan cepat menyalakan seven segment. Output dari decoder maksimum
adalah 2n. Jadi dapat kita bentuk n-to-2n decoder. Jika kita ingin
merangkaian decoder dapatkita buat dengan 3-to-8 decoder menggunakan
2-to-4 decoder. Sehingga kita dapat membuat 4-to-16 decoder dengan
menggunakan dua buah 3-to-8 decoder [1].
Sandi BCD adalah suatu pengelompokan bilangan biner yang tiap
kelompoknya terdiri dari 4 bit, seperti telah dibahas sebelumnya terjadi
kekosongan output pada inputan biner 326 sepuluh hingga lima belas.
Mengkonversi bilangan desimal ke biner dalam sistem BCD tidak sama
dengan konversi bilangan biner langsung. Dalam sistem BCD angka biner
langsung dikonversikan secara lengkap seluruhnya menjadi bilangan
desimal dan sebaliknya bilangan desimal dalam kode BCD diubah tiap-tiap
digit desimal menjadi biner secara individual (satu per satu). BCD
digunakan dalam mesin-mesin display digital dengan output angka desimal,
seperti voltmeter digital, pengukur frekuensi, kalkulator, dan jam digital.
Terdapat pengkodean yang mengatasi masalah kekosongan salah satunya
adalah decoder ekses-3 (Excess-3 code), ekses-3 banyak digunakan dalam
memanipulasi bilangan-bilangan yang dipergunakan dalam operasi
peralatan digital. Sandi ini diterapkan ada rangkaian yang dipakai dalam
operasi perhitungan seperti kalkulator atau komputer. Untuk mengubah
bilangan desimal ke ekses-3, kode ini mambahkan 3 pada masing – masing
digit dari bilangan desimal dan mengkonversinya ke dalam bentuk biner.
Sedangkan untuk mengubah dari sandi ekses-3 ke biner kurangkan 3 (dalam
biner 0011) pada bil biner (ekses-3) lalu diubah dalam bentuk desimal. Hasil
tabel kebenaran konversi biner ekses-3 menunjukan bahwa angka maksimal
dan minimal (angka yang bersebrangan) menjadi komplemennya. Namun
pada kode tetap terjadi kekosongan angka diawal dan diakhir. Kode BCD
2421 merancang kode dengan merubah biner delapan menjadi 0111
sehingga nilai maksimal (sembilan desimal) menjadi 1111, pada kode
tersebut terjadi kekosongan angka ditengah. Sehingga makalah ini
modifikasi pengkodean perlu dilakukan diakhir untuk mengatasi
kekosongan-kekosongan tersebut [2].
BCD tidak digunakan dalam komputer digital berkecepatan tinggi,
oleh karena BCD membutuhkan lebih banyak bit sehingga kurang efisien.
Pada proses aritmetik, BCD lebih rumit sehingga memerlukan rangkaian
yang lebih kompleks sehingga akan memperlambat kecepatan operasi.
Sebagai contoh pada operasi aritmatik penjumlahan dua angka BCD tidak
mengalami kendala ketika hasil penjumlahan dibawah angka sembilan,
namun akan sangat terkendala pada hasil pemjumlahan di atas sembilan
dikarenakan ada proses carry (bawa). Pada decoder BCD ekses-3 aritmatik
penjumlahan dapat diatasi namun tetap saja pada operasi perkalian kode
tersebut tidak semudah jika menggunakan biner secara langsung. Pada
makalah ini hanya akan dibahas penggunaan BCD untuk aplikasi display
digital untuk bilangan desimal [2].
Seven segment display (7 segment display) dalam bahasa indonesia
disebut dengan layar tujuh segment adalah komponen elektronika yang
dapat menampilkan angka desimal melalui kombinasi-kombinasi
segmentnya. seven segment display memiliki 7 segment dimana setiap
segment dikendalikan secara on dan off untuk menampilkan angka yang
diinginkan. Angka-angka dari 0 (nol) sampai 9 (sembilan) dapat
ditampilkan dengan menggunakan beberapa kombinasi segment. Selain 0 –
9, seven segment display juga dapat menampilkan huruf hexadecimal dari
A sampai F. Segment atau elemen-elemen pada seven segment display diatur
menjadi bentuk angka “8” yang agak miring ke kanan dengan tujuan untuk
mempermudah pembacaannya. Pada beberapa jenis seven segment display,
terdapat juga penambahan “titik” yang menunjukan angka koma decimal.
Terdapat beberapa jenis seven segment display, diantaranya adalah
incandescent bulbs, fluorescent lamps (FL), liquid crystal display (LCD)
dan light emitting diode (LED). Cara kerjanya seven segment boleh
dikatakan mudah, ketika segment atau elemen tertentu diberikan arus listrik,
maka display akan menampilkan angka atau digit yang diinginkan sesuai
dengan kombinasi yang diberikan. Terdapat 2 Jenis LED 7 segment,
diantaranya adalah “LED 7 segment common cathode” dan “LED 7 segment
common anode” [3].
Pada LED 7 segment jenis common cathode (katoda), kaki katoda
pada semua segment LED adalah terhubung menjadi 1 pin, sedangkan kaki
anoda akan menjadi input untuk masing-masing segment LED. Kaki katoda
yang terhubung menjadi 1 pin ini merupakan terminal negatif (-) atau
ground sedangkan signal kendali (control signal) akan diberikan kepada
masing-masing kaki anoda segment LED [3].
Gambar 9.3.1 Common cathode [3].
Pada LED 7 segment jenis common anode (anoda), kaki Anoda pada
semua segment LED adalah terhubung menjadi 1 pin, sedangkan kaki
katoda akan menjadi input untuk masing-masing segment LED. Kaki anoda
yang terhubung menjadi 1 pin ini akan diberikan tegangan positif (+) dan
signal kendali (control signal) akan diberikan kepada masing-masing kaki
katoda segment LED [3].

Gambar 9.3.2 Common anode [3].


IV. HASIL DATA
A. Gambar Rangkaian

Gambar 9.4.1 Seven segment 0.

Gambar 9.4.2 Seven segment 1.

Gambar 9.4.3 Seven segment 3.


Gambar 9.4.4 Seven segment 4.

Gambar 9.4.5 Seven segment 4.

Gambar 9.4.6 Seven segment 5.


Gambar 9.4.7 Seven segment 6.

Gambar 9.4.8 Seven segment 7

Gambar 9.4.9 Seven segment 8.


Gambar 9.4.10 Seven segment 9.

B. Tabel Data
Tabel 9.4.1 Percobaan 1
BCD Input Segment Output
Display
D C B A a b c d e f g
0 0 0 0 1 1 1 1 1 1 0 0
0 0 0 1 0 1 1 0 0 0 1 1
0 0 1 0 1 1 0 1 1 0 1 2
0 0 1 1 1 1 1 1 0 0 1 3
0 1 0 0 0 1 1 0 0 0 1 4
0 1 0 1 1 0 1 1 0 1 1 5
0 1 1 0 0 0 1 1 1 1 1 6
0 1 1 1 1 1 1 0 0 0 0 7
1 0 0 0 1 1 1 1 1 1 1 8
1 0 0 1 1 1 1 0 0 1 1 9
V. ANALISIS DAN PEMBAHASAN
Pada percobaan ini, praktikan melakukan percobaan mengenai
rangkaian decoder. Decoder berfungsi sebagai alat untuk
mengembalikan proses encoding sehingga bentuk informasi yang asli
dapat diterima. Komponen yang digunakan dalam praktikum ini yaitu
modul perangkat praktikum tekinik digital, seven segment, kabel
penghubung yaitu kabel jumper male to male dan kabel capit buaya, IC
7490. Modul trainer digital berisi berbagai macam gerbang logika yang
nantinya akan digunakan untuk uji coba praktikum sedangkan kabel
penghubung digunakan untuk menghubungkan komponen elektronika
satu dengan komponen elektronika yang lain. Seven segment dalam
praktikum ini digunakan untuk menampilkan angka yang dihasilkan dari
output IC. Sebelum melakukan praktikum, praktikan dijelaskan
mengenai alat dan bahan yang digunakan serta cara kerja dalam
praktikum.
Pada percobaan ini, praktikan menyusun rangkaian decoder
menggunakan IC 7490. IC 7490 dipasang ke bread board lalu
hubungkan pin-pin pada pada IC sesuai petunjuk pada modul
menggunakan kabel jumper male to male. Pin 5 dihubungkan pada
tegangan positif power supply dan pin 10 pada ground. Pin 1
disambungkan dengan pin 12 lalu sambungkan pin 2, pin 3, pin 6, dan
pin 7 pada ground. Hubungkan clock CKA (pin 14) dengan input SW1
menggunakan kabel jumper capit buaya. Hubungkan pin Qd (11) pada
output L1, Qc (8) pada output L2, Qb (9) pada output L3, dan Qa (12)
pada output L4. Hubungkan pin D, C, B, A (BCD input) dengan output
IC 7490 pada percobaan 1, yaitu pin QD(11), QC(8), QB(9), dan
QA(12). Setelah rangkaian selesai disusun, diberikan input seperti pada
tabel 9.4.1.
Pada percobaan pertama, diberikan input D = 0, C = 0, B = 0, dan
A = 0 menghasilkan output pada seven segment yaitu a = 1 (nyala), b =
1 (nyala), c = 1 (nyala), d = 1 (nyala), e = 1 (nyala), f = 1 (nyala), g = 0
(mati). Pada percobaan ini display seven segment menampilkan angka
0. Pada percobaan kedua, diberikan input D = 0, C = 0, B = 0, dan A =
1 menghasilkan output pada seven segment yaitu a = 0 (mati), b = 1
(nyala), c = 1 (nyala), d = 0 (mati), e = 0 (mati), f = 0 (mati), g = 0 (mati).
Pada percobaan ini display seven segment menampilkan angka 1. Pada
percobaan ketiga, diberikan input D = 0, C = 0, B = 1, dan A = 0
menghasilkan output pada seven segment yaitu a = 1 (nyala), b = 1
(nyala), c = 0 (mati), d = 1 (nyala), e = 1 (nyala), f = 0 (mati), g = 1
(nyala). Pada percobaan ini display seven segment menampilkan angka
2. Pada percobaan keempat, diberikan input D = 0, C = 0, B = 1, dan A
= 1 menghasilkan output pada seven segment yaitu a = 1 (nyala), b = 1
(nyala), c = 1 (nyala), d = 1 (nyala), e = 0 (mati), f = 0 (mati), g = 1
(nyala). Pada percobaan ini display seven segment menampilkan angka
3. Pada percobaan kelima, diberikan input D = 0, C = 1, B = 0, dan A =
0 menghasilkan output pada seven segment yaitu a = 0 (mati), b = 1
(nyala), c = 1 (nyala), d = 0 (mati), e = 0 (mati), f = 0 (mati), g = 0 (mati).
Pada percobaan ini display seven segment menampilkan angka 4. Pada
percobaan keenam, diberikan input D = 0, C = 1, B = 0, dan A = 1
menghasilkan output pada seven segment yaitu a = 1 (nyala), b = 0
(mati), c = 1 (nyala), d = 1 (nyala), e = 0 (mati), f = 1 (nyala), g = 1
(nyala). Pada percobaan ini display seven segment menampilkan angka
5. Pada percobaan ketujuh, diberikan input D = 0, C = 1, B = 1, dan A
= 0 menghasilkan output pada seven segment yaitu a = 0 (mati), b = 0
(mati), c = 1 (nyala), d = 1 (nyala), e = 1 (nyala), f = 1 (nyala), g = 1
(nyala). Pada percobaan ini display seven segment menampilkan angka
6. Pada percobaan kedelapan, diberikan input D = 0, C = 1, B = 1, dan
A = 1 menghasilkan output pada seven segment yaitu a = 1 (nyala), b =
1 (nyala), c = 1 (nyala), d = 0 (mati), e = 0 (mati), f = 0 (mati), g = 0
(mati). Pada percobaan ini display seven segment menampilkan angka
7. Pada percobaan kesembilan, diberikan input D = 1, C = 0, B = 0, dan
A = 0 menghasilkan output pada seven segment yaitu a = 1 (nyala), b =
1 (nyala), c = 1 (nyala), d = 1 (nyala), e = 1 (nyala), f = 1 (nyala), g = 1
(nyala). Pada percobaan ini display seven segment menampilkan angka
8. Pada percobaan kesepuluh, diberikan input D = 1, C = 0, B = 0, dan
A = 1 menghasilkan output pada seven segment yaitu a = 1 (nyala), b =
1 (nyala), c = 1 (nyala), d = 0 (mati), e = 0 (mati), f = 1 (nyala), g = 1
(nyala). Pada percobaan ini display seven segment menampilkan angka
9.
VI. KESIMPULAN DAN SARAN
A. Kesimpulan
1. Decoder berperan sebagai alat untuk mengembalikan proses
encoding agar informasi dapat diterima dalam bentuk aslinya.
2. Decoder pada percobaan tersebut mampu mengubah sinyal yang
terkodekan dengan baik dan memberikan output yang diinginkan.
3. Seven segment berfungsi untuk menampilkan hasil output dari
decoder.
B. Saran
1. Mempelajari materi sebelum praktikum.
2. Memanfaatkan waktu praktikum dengan sebaik mungkin.
3. Menggunakan komponen praktikum dengan baik dan hati-hati agar
tidak rusak.
VII. DAFTAR PUSTAKA
[1] C. Anggraini, "PENGGUNAAN IC GERBANG NAND, NOT DAN
AND DALAM MERANGKAIRANGKAIAN DECODER BCD KE
DESIMAL," Jurnal Dekorder, no. Penyederhanaan Rangkaian
Decoder, 2018.
[2] N. A. R. Kristian Ismail, "DEKODER EMPAT BIT BINARY CODE
DECIMAL (BCD) DEKODER EMPAT BIT BINARY CODE
DECIMAL (BCD)," p. 326, 2018.
[3] G. A. Agung Esmawan, "PERANCANGAN SISTEM PENSKORAN
OLAHRAGA DENGAN TAMPILAN SEVEN SEGMENT,"
GRAVITY, vol. 5, pp. 99-108, 2019.
VIII. LAMPIRAN

Gambar 9.8.1 lembar data

Anda mungkin juga menyukai