Anda di halaman 1dari 4

MODUL 7 DECODER BCD to 7-SEGMEN

Alfi Liqo Nur Inayati (K1C015006)


Asisten: Liyawati
Tanggal Percobaan: 13/12/2017
PAF15321P-Praktikum Elektronika Digital
Laboratorium Elektronika, Instrumentasi dan Geofisika – Fakultas Matematika dan Ilmu Pengetahuan Alam Unsoed

Abstrak mengkorversikan input-input biner dan


mengaktifkan salah satu outputnya sesuai dengan
Pada Percobaan kali ini adalah rangkaian decoder BCD to
urutan biner tersebut. yang dapat diindera secara
7-Segmen, percobaan ini menggunakna IC TTL 74LS48 visual. Sandi biner merupakan input masuk ke
dan resistor 300 , 470 , dan 10 k . Tujuan dari Dekoder lewat n jalur input, dimana n merupakan
praktikum kali ini untuk mempelajari prinsip kerja dari jumlah bit maksimum dari sandi biner yang akan
rangkaian penggerak 7-segmen dan bagaimana cara diproses. Sedangkan jalur outputnya hanya
membuat rangkaiannya. Dekoder BCD ke 7 segment diaktifkan 1 jalur dari beberapa jalur yang ada,
yang menjadi nol (sinyalnya bertipe aktif) setiap
display punya kemampuan mengkonversikan kode biner
kali decoder menerima suatu kombinasi input
menjadi bilangan decimal yang kemudian ditampilkan pada lewat n jalur output tersebut.
7-segment . Setelah merangkai dengan menggabungkan
Ada beberapa macam decoder, misalnya BCD ke
rangkaian IC serta segment display akan mendapatkan hasil
decimal Decoder, Excess-3 Desimal Decoder dan
pada tiap output berupa display pada segment. BCD ke 7-Segment Display Decoder[1].
Kata kunci: Decoder, BCD(Binary Coded Decimal),
7-segmen
2.2 Dekoder BCD Ke 7 Segmen 74LS248
Dekoder BCD ke 7 Segmen digunakan untuk
1. PENDAHULUAN mengubah masukan yang berupa sandi Binary
Dekoder merupakan rangkaian elektronika yang Coded Decimal (BCD) menjadi sandi yang sesuai
berfungsi untuk menampilkan kode-kode biner dengan format 7 segmen. Decoder 74248
menjadi karakter yang dapat dipahami secara mempunyai empat buah data masukan, masing-
visual. Decoder BCD ke 7 segment merupakan masing A, B, C, dan D tujuh buah keluaran yaitu :
rangkaian elektronika yang berfungsi untuk a, b, c, d, e, f dan beberapa kaki untuk kendali
mengubah kode BCD menjadi karakter tampilan yaitu LT, RB In (RBI), RB Out (RBO). Konfigurasi
angka desimal yang dapat dilihat secara visual. kaki IC 74LS248 ditunjukan pada gambar berikut.
Dekoder tipe ini punya kemampuan
mengkonversikan kode biner menjadi bilangan
desimal yang kemudian ditampilkan pada 7-
segmen display. Seluruh jalur outputnya 7 buah
dihubungkan ke 7 segmen.

2. STUDI PUSTAKA
BCD adalah salah satu sistem pengkodean
bilangan desimal menjadi biner, yang tiap
bilangan desimal dari 0 sampai 9 dikonversikan
menjadi biner dalam format 4 bit. Pengkodean Gambar 2.1 Konfigurasi Pin Dekoder BCD ke 7
bilangan biner tersebut menurut pangkat dua, Segmen 74LS248
mulai dari yang paling kiri.
Fungsi Setiap Kaki Pada Dekoder 74LS248 :
2.1 Decoder
 Kaki A0 – A3 berfungsi sebagai jalur
Alat yang digunakan untuk dapat mengembalikan masukan data BCD 4 bit.
proses encoding sehingga kita dapat melihat atau
 Kaki RBI berfungsi sebagai masukan kontrol
menerima informasi aslinya. Pengertian Decoder
Riple Blanking Input.
juga dapat di artikan sebagai suatu rangkaian

Laporan Praktikum – Laboratorium Elektronika, Instrumentasi dan Geofisika – FMIPA Unsoed 1


 Kaki LT berfungsi sebagai masukan kontrol
Lamp Test.
 Kaki BI/RBO berfungsi sebagai masukan
kontrol Blanking Input atau Riple Blanking
Output.
 Kaki a – g berfungsi sebagai keluaran untuk
penampil 7 segmen common anode
Untuk mengoperasikan dekoder 74LS248 agar
keluaran a – g menghasilkan tampilan desimal Gambar 2.3 Dekoder BCD Ke 7 Segment
dari data BCD pada masukan A0 – A3 maka kaki Data BCD 4 bit diubah menjadi tampilan visual
LT dan BI/RBO diberi logika tinggi kemudian angka desimal 0-9 menggunakan rangkaian logika
data BCD diberikan pada kaki-kaki A0 – A3. dasar digital (AND, OR dan NOR). Data BCD 4 bit
Fasilitas LT (Lamp Test digunakan untuk tersebut diubah sesuai nilai desimal seperti pada
mengetes kondisi penampil 7 segmen. Fasilitas tabel berikut.
BI/RBO berfunsi untuk meniadakan data
masukan dan memberikan tampilan blank pada
penampil 7 segmen. Tabel kebenaran dekoder
74LS248 ditunjukan pada tabel berikut.

Tabel 2.1 Tabel Kebenaran IC 74LS248


Karakter tampilan yang dihasilkan dekoder
74LS248 pada penampil 7 segmen common anode Tabel 2.2 Tabel Kebenaran Dekoder BCD Ke 7
ditunjukan pada gambar berikut. Segment
Proses pengkodean data BCD menjadi tampilan
angka desimal dilakukan secara terpisah untuk
tiap ruas/segment (ruas a- ruas g). Untuk
Gambar 2.2 Karakter Tampilan Dekoder 74LS248 membangun sebuah dekoder 7 segment dari data
Pada Penampil 7 Segmen [2] tabel kebenaran diatas, langkah pertama adalah
menentukan persamaan yang dapat mewakili
fungsi dekoder tiap ruas. Setelah itu dapat di buat
2.3 Dekoder BCD Ke 7 Segment rangkaian decoder untuk tiap ruas menggunakan
Dekoder merupakan rangkaian elektronika yang rangkaian digital dari gerbang logika dasar[3].
berfungsi untuk menampilkan kode-kode biner
menjadi karakter yang dapat dipahami secara 3. METODELOGI
visual. Decoder BCD ke 7 segment merupakan
rangkaian elektronika yang berfungsi untuk 3.1 ALAT DAN BAHAN
mengubah kode BCD menjadi karakter tampilan 1. Papan digital
angka desimal yang dapat dilihat secara visual.
Ilustrasi dekoder BCD ke 7 segment dapat 2. Breathgboard
dipahami dari gambar berikut : 3. IC TTL 74LS48
4. Resistor 300 , 470 , dan 10 k
5. Kabel penghubung

Laporan Praktikum – Laboratorium Elektronika, Instrumentasi dan Geofisika – FMIPA Unsoed 2


3.2 CARA KERJA 0 0 1 0

Mulai

0 0 1 1
Papan digital
Breathgboard
IC TTL 74LS48
Resistor 300 , 470 , dan 10 k
Kabel penghubung
0 1 0 0

- Membuat rangkaian logika enkoder BCD


to 7-segment seperti Gambar 3.1 pada
breadboard 0 1 0 1
- Menghubungkan rangkaian yang telah
dibuat dengan papan digital.
- Rangkaian telah terhubung, menyalakan
tombol power.
- Menguji rangkaian yang telah dirangkai.
0 1 1 0

Tabel kebenaran
gerbang logika

0 1 1 1
Selesai

1 0 0 0

1 0 0 1
Gambar 3.1 Rangkaian logika

4. HASIL DAN ANALISIS

4.1 HASIL 1 0 1 0
A. Decoder
Tabel 4.1. Data pengamatan decoder BCD to 7-Segment

A C B D Tampilan 7-segmen
0 0 0 0
1 0 1 1

0 0 0 1

Laporan Praktikum – Laboratorium Elektronika, Instrumentasi dan Geofisika – FMIPA Unsoed 3


1 1 0 0 6. DAFTAR PUSTAKA
[1] Ulum, Syaiful. 2017. Modul Praktikum
Elektronika Digital Laboratorium Sistem
Elektronika Telkom University.
Bandung:Telkom University.
[2] http://elektronika-dasar.web.id/dekoder-bcd-
1 1 0 1 ke-7-segmen-74ls248/ diakses 26 Desember
2017, pukul 13.00 WIB.
[3] http://elektronika-dasar.web.id/dekoder-bcd-
ke-7-segment/ diakses 26 Desember 2017,
pukul 13.30 WIB.
1 1 1 0
LAMPIRAN

1 1 1 1

(mati)

4.2 ANALISIS
Pada percobaan Peraga seven segmen ini,
menggunakan IC TTL 74LS45 dan peraga seven
segmen jenis common anoda. IC 7447 mempunyai
4 input BCD dengan 7 output. Pada IC TTL
74LS45 inputan LT dengan output pada seven
segmen digunakan untuk mengetes kerja LED
seven segmen. Seven segmen merupakan
gabungan dari 7 buah lampu LED yang disusun
dengan inputan pada masing-masing lampu LED.
Inputan pada peraga seven segmen jenis common
anoda melalui inputan Vcc. Peraga seven segmen
ini dapat memunculkan bilangan desimal dari 0
hingga 9.

5. KESIMPULAN
Dari praktikum rangkaian ekivalen dapat
disimpulkan bahwa:
1. Rangkaian BCD to 7 Segment Decoder adalah
rangkaian digital yang berfungsi untuk
mengkonversi bilangan BCD menjadi bilangan
desimal. Alat ini menggunakan sebuah IC
Decoder yang untuk menampilkan kode-kode
biner menjadi tanda-tanda yang dapat
ditanggapi secara visual di dalam sebuah
Display.

Laporan Praktikum – Laboratorium Elektronika, Instrumentasi dan Geofisika – FMIPA Unsoed 4

Anda mungkin juga menyukai