Anda di halaman 1dari 21

BAB 1

PENDAHULUAN

1.1 Latar Belakang


Di era perkembangan jaman ini semua serba dituntut cepat dan
tepat.Oleh karena itu, pengembangan teknologi di segala aspek diperlukan
untuk membantu pekerjaan manusia sehingga menjadi lebih cepat dan
tepat.Dalam dunia olahraga sendiri sudah banyak pengembangan teknologi
yang mempermudah dalam beberapa aspek.
Di sebuah pertandingan atau perlombaan olahraga terdapat sebuah skor
dan skor tersebut dicatat pada papan skor. Papan skor ini merupakan suatu
rangkaian papan nilai/skor yang dapat digunakan dalam suatu perlombaan
ataupun pertandingan olahraga untuk mencamtumkan jumlah skor/nilai yang
telah diperoleh oleh setiap tim yang bertanding. Rangkaian ini dapat digunakan
pada pertandingan sepakbola dan jenis olahraga lainnya. Karena dalam
penggunaannya papan skor masih banyak yang menggunakan papan skor
tradisional atau papan skor manual yang penggunaannya relatif tidak efisien dan
tidak praktis. Oleh karena itu kami membuat alat dengan judul “Scoreboard
Digital Menggunakan Decoder Dan Dipswitch Sebagai Indikator Score
Pertandingan Sepakbola”, untuk mempermudah penggunaan papan skor dalam
pertandingan sepakbola sehingga menjadi lebih praktis dan efisien.

1.2 Rumusan Masalah


Perumusan Masalah dalam pembuatan alat ini adalah :
1. Bagaimana cara mempermudah perhitungan skor pertandingan sepakbola
dengan papan skor digital?
2. Bagaimana prinsip kerja kombinasi IC decoder dan Encoder pada alat
Scoreboard digital ?

1.3 Tujuan
Adapun tujuan yang kami inginkan dari pembuatan alat ini adalah sebagai
berikut:
1. Untuk mempermudah dalam perhitungan skor dalam suatu pertandingan
khusunya sepakbola.
2. Mengembangkan dan mengaplikasikan teknologi di cabang olahraga
khususnya sepakbola sehingga dapat membantu kelancaran
berlangsungnya pertandingan tersebut.

1.4 Manfaat
Manfaat yang dapat diperoleh dalam pembuatan alat ini antara lain:

1
1. Mempermudah pekerjaan pengatur skor pada sebuah pertandingan
sehingga pekerjaannya menjadi lebih praktis dan efisien.
2. Meminimalisir kesalahan pada pengatur skor atau human error.
BAB 2

TINJAUAN PUSTAKA

2.1. Decoder (IC 7447)


Dekoder BCD ke 7 segment jenis TTL adalah rangkaian yang berfungsi
untuk mengubah kode bilangan biner BCD (Binary Coded Decimal)
menjadi data tampilan untuk penampil/display 7 segment yang bekerja pada
tegangan TTL (+5 volt DC). Dalam artikel ini dekoder BCD ke 7 segmen
yang digunakan adalah jenis TTL. Decoder BCD ke 7 segmen jenis TTL
ada beberapa macam diantaranya keluarga IC TTL 7447 dan keluarga IC
TTL 7448. Kedua IC TTL: tersebut memiliki fungsi yang sama namun
peruntukannya berbeda IC 7447 digunakan untuk driver 7 segment common
anoda sedangkan IC 7448 digunakan untuk driver display 7 segment
common cathode. IC dekoder BCD ke 7 segment sering juga dikenal
sebagai driver display 7 segment karena selalu digunakan untuk
memberikan driver sumber tegangan ke penampil 7 segment.

Gambar 2.1 Rangkaian IC 7447.

Konfigurasi Pin IC Dekoder BCD Ke 7 Segmen 7447 :


a. Jalur input data BCD, pin input ini terdiri dari 4 line input yang
mewakili 4 bit data BCD dengan sebutan jalur input A, B, C dan
D.
b. Jalur ouput 7 segmen, pin output ini berfungsi untuk
mendistribusikan data pengkodean ke penampil 7 segmen. Pin
output dekoder BCD ke 7 segmen ini ada 7 pin yang masing-
masing diberi nama a, b, c, d, e, f dan g.
c. Jalur LT (Lamp Test) yang berfunsi untuk menyalakan semua led
pada penampil 7 segmen, jalur LT akan aktif pad saat diberikan
logika LOW pad jalut LT tersebut.
d. Jalur RBI (Riple Blanking Input) yang berfungsi untuk menahan
sinyal input (disable input), jalur RBI akan aktif bila diberikan
logika LOW.

3
e. Jalur RBO (Riple blanking Output) yang berfungsi untuk menahan
data output ke penampil 7 segmen (disable output), jalur RBO ini
akan aktif pada sat diberikan logika LOW.

Untuk aplikasi yang terlihat pada kedua gambar diatas adalah teknik
driver penampil 7 segmen standar menggunakan decoder BCD ke 7 segmen
TTL IC 7447 dan IC 7448. Dalam aplikasi decoder, ketiga jalur kontorl
(LT, RBI dan RBO) harus diberikan logika HIGH dengan tujuan data input
BCD dapat masuk dan penampil 7 segmen dapat menerima data tampilan
sesuai data BCD yang diberikan pada jalur input. Fungsi resistor pada setiap
jalur output dekoder BCD ke 7 segmen tersebut adalah sebagai pembatas
arus maksimum yang mengalir pada LED penampil 7 segmen dan arus yang
mengalir pada IC dekoder BCD ke 7 segmen yang digunakan dimana arus
maksimum yang diperbolehkan maksimum 20 mA.

Gambar 2.2 datasheet IC 74LS147

Tabel 2.1 Tabel kebenaran IC encoder 74LS147

4
2.2. Encoder (IC 74LS147)
Encoder adalah kebalikan dari decoder, encoder 10 line (desimal) ke
BCD 74147 adalah sebuah chip IC yang berfungsi untuk mengokdekan 10
line jalur input (desimal) menjadi data dalam bentuk BCD (Binary Coded
decimal). IC encoder 74147 merupakan encoder data desimal menjadi data
BCD dengan input aktif LOW dan output 4 bit BCD aktif LOW. Encoder
desimal ke BCD ini sering kita perlukan pada saat perancangan suatu
perangkat digital dan kita mengalami kekurangan port atau jalut untuk input
saklarnya. IC encoder 74147 merupakan IC dalam keluarga TTL yang
bekerja dengan tegangan sumber + 5 volt DC. Konfigurasi pin dan tabel
kebenaran dari encoder TTL 10 line (desimal) ke BCD IC 74147 dapat
dilihat pada gambar berikut.
Konfigurasi pin dan tabel kebenaran encoder 74147 diatas diambil dari
datasheet IC 74147. IC 74147 memiliki 16 pin dengan kemasan IC DIP.
Encoder IC 74147 memiliki 9 jalur input desimal 1 sampai 9 aktif LOW dan
4 jalur output BCD aktif LOW. Tegangan sumber untuk IC 74147 diberikan
melalui pin Vcc (+5 volt DC) dan pin GND (ground). Input pada encoder
IC 74147 ini di simbolkan dengan input 1 sampai 9 dan jalur output BCD 4
bit disimbolkan dengan Q0 sampai Q3.
Pada tabel kebenaran encoder IC 74147 terdiri dari data jalur input 9 line
(1 – 9) aktif LOW, 4 bit output (Q0, Q1, Q2, Q3) BCD aktif LOW dan nilai
logika negatif BCD. Kode H (HIGH) mereprentasikan kondisi logika 1
(HIGH), L merepresentasikan logika 0 (LOW) dan kode X adalah don’t
care yaitu tidak berpengaruh terhadap proses encoding data desimal ke
BCD IC Encoder 74147.

2.3. Seven Segment


Seven Segment merupakan tampilan yang terdiri dari tujuh Segmen (LED
atau Liquid crystal) terpisah yang diberi label a sampai g. Seven segment
dapat menampilkan angka desimal yang sesuai dengan angka biner yang
dimasukkan, jika menggunakan driver (atau decoder) yang disebut BCD to
seven segment. Chip 7447 akan output 1 pada a, b, c, d, e, dan f (yang
berarti angka 0) jika dimasukkan ABCD-nya adalah 0000, lalu akan
memberikan output 1 pada b dan c (yang berarti 1). Untuk daftar input biner
dan output biner maupun output pin mana saja yang akan aktif di seven
segment terdapat pada tabel kebenaran dibawah ini :

5
Tabel 2.2 Tabel kebenaran seven segment

6
BAB III

METODOLOGI

3.1. Flowchart
mulai

Input dan dipswitch

Diproses oleh
encoder

Binary
Coded
Decimal

Diproses oleh
decoder

Tanpilan angka decimal


Output terjemahan pada seven segment
decimal

end

Gambar 3.1 Flowchart Scoreboard

7
3.2. Gambar Simulasi
Tabel 3.1 tebel pengujian alat

Gambar 3.2 percobaan 1

Gambar 3.2 percobaan 2

Gambar 3.2 percobaan 3

Gambar 3.2 percobaan 4


Gambar 3.2 percobaan 5

Gambar 3.2 percobaan 6

3.3. Alat dan Bahan


1. Hard ware
A.Solder
B. Bor
C. Laptop
D.Spidol permanen
E. Baskom
F. IC 7404 (Gerbang NOT)
G.IC 74ls147 (Encoder)
H.IC 7447 (Decoder)
I. Seven segment common anode
J. 2 buah Dipswich 8 pin
K.Kabel jumper
L. Kertas mika
M.timah
N.kertas fotocopy
O.papan PCB
P.HCI
Q.H2O2
R,.Lotion
S.Air
2. Soft Ware
a.proteus
b.PCB express
9
3.4. Prosedur Pembuatan Alat
1. Langkah pertama adalah membuat rangkaian simulasi dari papan score
dengan software proteus 8. Apabila rangkaian simulasi bisa bekerja maka
rangkaian pada simulasi itu akan diimplementasikan pada rangkaian pada
PCB.
2. Langkah kedua dengan membuat layout pada PCB. Disini kita
menggunakan PCB polos, jadi kita diharuskan mendesain layout PCB
terlebih dahulu. Kita menggunakan software eagle untuk membuat layout
PCB. Setelah design dirasa pas selanjutnya cetak desain pada kertas.
Setelah kertas ditempelkan pada bagian tembaga PCB, semprokan autan
pada kertas tersebut. Tahap selanjutnya PCB yang telah diberi autan
dilapisi mika lalu digosok dengan koin agar rangkaian dapat menempel
pada PCB. Lalu rendam di dalam air sebentar, lalu pisahkan kertas dan
PCB.
3. Tahapan selanjutnya dengan merendam PCB pada larutan HCl dan H2O2,
dengan komposisi air:H2O2:HCl adalah 4:2:1. Campuran larutan tersebut
ditempatkan pada sebuah baskom lalu rendam PCB dalam larutan tersebut.
Diamkan beberapa saat sampai bagian yang tidak tertutup terlarutkan oleh
larutan tersebut. Ketika semua bagian yang tidak tertutupi sudah terlarutkan
atau terkelupas, angkat PCB dari baskom. Basuh PCB dengan air untuk
menghilangkan larutan yang tersisa pada PCB.
4. Tandai bagian yang akan ditempakan komponen, lubangilah bagian yang
telah ditandai itu menggunakan bor. Pasangkan komponen-komponen
sesuai dengan rangkaian alat. Seeleh itu komponen-komponen tersebut
direkatkan dengan cara mennyolder pada kaki-kaki komponen itu dengan
menggunakan timah.

3.5. Prinsip Kerja Alat


Papan skor ini bertujuan untuk menampilkan angka desimal yang
digunakan sebagai skor dalam sebuah pertandingan, sepak bola misalnya.
Prinsip kerjanya yaitu mengubah masukan berbentuk desimal yang akan
diubah oleh encoder menjadi kode biner, misalkan angka desimal 2 akan
menjadi 0010 bila dikonversikan dalam kode binary. Lalu dari kode-kode
binary tersebut akan diproses oleh IC 7448 yang merupakan komponen
decoder yang berfungsi untuk mengkonversikan BCD (Binary coded decial)
menjadi output yang bisa ditampilkan oleh seven segment. Kita misalkan
input yang dimasukan pada alat adalah 1. Angka 1 ini akan dikonversi
menjadi Binary Coded Decimal(BCD), lalu disalurkan menuju decoder yang
akan menerjemahkan agar bagian LED yang menyala pada seven segment
adalah b dan c sedangkan segmen LED yang lainnya mati. Sehingga tampilan
yang didapat pada seven segment adalah angka desimal 1.

10
BAB IV
HASIL DAN PEMBAHASAN

4.1. Data Hasil Pengujian Alat


Tabel 4.1 Tabel kebenaran scoreboard
In A1 A2 A3 A4 A5 A6 A7 A8 A9 out
A1 1 0 0 0 0 0 0 0 0 1
A2 0 1 0 0 0 0 0 0 0 2
A3 0 0 1 0 0 0 0 0 0 3
A4 0 0 0 1 0 0 0 0 0 4
A5 0 0 0 0 1 0 0 0 0 5
A6 0 0 0 0 0 1 0 0 0 6
A7 0 0 0 0 0 0 1 0 0 7
A8 0 0 0 0 0 0 0 1 0 8
A9 0 0 0 0 0 0 0 0 1 9

4.2. Analisa Data dan Pembahasan

Papan skor ini merupakan suatu rangkaian papan nilai/skor yang dapat
digunakan dalam suatu perlombaan ataupun pertandingan olahraga untuk
mencamtumkan jumlah skor/nilai yang telah diperoleh oleh para
pemain.Rangkaian ini dapat digunakan pada pertandingan sepakbola dan
jenis olahraga lainnya. Karena dalam penggunaannya papan skor masih
banyak menggunakan papan skor tradisional yang penggunaannya relatif
tidak efisien dan tidak praktis.
Disini cara kerja nya adalah jika input A1 salah satu, lalu akan di proses
oleh IC 74ls147 atau (ENCODER), di encoder ini outputnya biner dan
selanjutnya akan di proses lagi oleh yang mana dilanjutkan di proses lagi di
IC 7447 DECODER disini output biner akan di proses menjadi desimal, dan
output dari decoder akan lanjut ke gerbang NOT atau IC 7404 disini output
dari decoder ini akan diproses agar bisa terbaca pada seven segment.
Disini kita akan melakukan sembilan kali percobaan untuk menguji alat
yang kami buat.yang mana percobaan pertama disini kita mempunyai
beberapa input atau masukan yang berbentuk biner dan output nya
berbentuk desimal.
Pada percobaaan pertama atau A1 input nya berlogika satu, dan A2
sampai dengan A9 itu berlogika nol maka output yang akan dihasilkan
seven segment yang bentuknya desimal akan memberikan output nya yaitu
angka satu, yang mana sebulumnya akan di proses oleh IC 74147 atau
encoder dan di lanjutkan decoder atau IC 7447 dan gerbang not IC 7404.
Selanjutnya pada percobaan ke dua yaitu A2 inputnya berlogika satu, dan
A3 sampai dengan A9 dan juga A1 nya itu belogika nol maka output yang
akan dihasilkan seven segment yaitu berbentuk desimal yang mana akan
dihasilkan dengan angka dua.
11
Percobaan ke tiga pada percobaan ketiga ini yaitu A3 input berlogia
satu, dan A1 dan A2 ini berlogika nol selain itu A4 sampai dengan A9 itu
berlogikan nol maka yang akan di hasilkan seven segment ini yaitu bernilai
angka tiga, kaarena seven segment yang diguanakan seven segment yang
satu digit.
Lanjut pada percobaan ke empat yaitu A4 akan di beri input atau
berlogika satu, A1 sampai A 3 itu logika nol dan A5 sampai dengan A9 jug
berlogika kan nol maka akan di hasilkan output dari seven segment yaitu
bernilai angka empat. Percobaan kelima yaitu input dari A5 akan
berlogikakan satu, dan A1 sampai dengan A4 itu berlogikan nol dan A6
sampai dengan A9 itu akan diberi input yang berlogika kan nol, jadi nanti
akan dihasilkan nilai output dari seven segment yang akan bernilai angka
lima.
Lanjut pada percobaan ke enam disini kita memberi input pada A6
berlogika kan satu, dan A1 sampai dengan A5 berlogika kan nol begitu juga
dengan input dari A7 sampai dengan A9 berlogikan nol, maka output yang
di hasilkan oleh seven segment pada input A6 yang berlogika kan nol akan
menunjukan angka enam. Lanjut pada percobaan alat yang ke tujuh yang
mana disini akan memberi input dari A7 berlogika kan satu dan dari A1
sampai dengan A6 itu berlogika kan nol begitu pula dengan input dari A8
sampai dengan A9 juga berlogikan nol sehingga akan menghasilkan output
data pada seven segment yaitu angka bernilai tujuh.
Lanjut pada percobaan kedelapan disini kita akan memberikan logika satu
pada input A8 yang mana pada A9 berlogika kan nol begitu pula dengan input
dari A1 sampai dengan A7 juga berlogikan nol sehingga akan menghasilkan
output dari seven segment yang bernilai angka delapan. Lanjut pada percobaan
ke sembilan dan sekaligus percobaan trakhir pada alat yang kami buat yang
mana kami memberikan input berlogika kan satu pada A9, yang mana pada
input A1 sampai dengan A8 berlogikan nol, sehingga akan menghasilkan data
output dari seven segment berupa bilangan desimal yang bernilai sembilan.
Disini kita menggunakan seven segment yang hanya bisa menampilkan
hanya yang berdigit satu.

12
BAB V
PENUTUP

5.1. Kesimpulan

Berdasarkan pembuatan alat yang telah di kerjakan , di dapati beberapa


kesimpulan seebagai berikut :

1. Input yang digunakan berupa desimal bukan biner jadi lebih mudah
dipahami oleh pengatur skor.
2. Jika kita ingin mengubah bilangan decimal ke bilangan biner dengan
bilangan desimalnya adalah 9 digit maka bisa menggunakan IC encoder
74LS147 seperti yang digunakan pada alat ini.
3. kalau ingin menerjemahkan kode biner menjadi bilangan decimal yang
bisa ditampilkan di seven segment maka bisa menggunakan IC decoder
7447 seperti yang digunakan pada alat ini setelah masukan melewati
inverter atau IC gerbang NOT.

5.2. Saran
Berdasarkan kesimpulan diatas penulis merekomendasikan berupa saran-
saran sebagai berikut :
1. Scoreboard ini memang lebih praktis dan efisien dari pada scoreboard
tradisional, namun, penggunaan masukan berupa dipswitch yang bisa
membuat pengguna kesusahan ketika menggunakan alat, sehingga
penulis menyarankan untuk masukan tidak menggunakan Dipswitch.
2. Meskipun tampilan yang menarik, namun pada digit output seven
segmentnya masih berupa satu digit, penulis menyarankan agar alat
tersebut digandakan sehingga menjadi output seven segment dua digit
dan bisa digunakan pada pertandingan sepakbola sebagai papan skor.
3. IC Encoder yang digunakan dalam alat ini yaitu IC 74LS147 harganya
terlalu mahal sehingga membuat biaya pembuatan alat ini relatif mahal
dan saran dari penulis adalah menggunakan IC yang tidak langka
sehingga harga komponennya tidak mahal.

13
DAFTAR PUSTAKA

Ibrahim KF, “Teknik Digital”, Yogyakarta, Andi, 1996.

Budiharto Widodo, firmansyah sigit, “Elektronika digital dan mikroprosesor”,


Yogyakarta, ANDI, 2005

14
LAMPIRAN
Lampiran

Gambar 1 Simulasi Alat scoreboard dengan aplikasi Proteus (output 0)

Gambar 2 Simulasi Alat scoreboard dengan aplikasi Proteus (output 1)

Gambar 3 Simulasi Alat scoreboard dengan aplikasi Proteus (output 2 )


Gambar 4 Simulasi Alat scoreboard dengan aplikasi Proteus (output 3)

Gambar 5 Simulasi Alat scoreboard dengan aplikasi Proteus (output 4)

Gambar 6 Simulasi Alat scoreboard dengan aplikasi Proteus (output 5 )

Gambar 7 Simulasi Alat scoreboard dengan aplikasi Proteus (output 6)


Gambar 8 Simulasi Alat scoreboard dengan aplikasi Proteus (output 7)

Gambar 9 Simulasi Alat scoreboard dengan aplikasi Proteus (output 8 )

Gambar 10 Simulasi Alat scoreboard dengan aplikasi Proteus (output 9 )


Gambar 11 layout PCB scoreboard digital dengan dipswitch dan decoder

Anda mungkin juga menyukai