Anda di halaman 1dari 19

LAPORAN PRAKTIKUM ELEKTRONIKA DIGITAL

DECODER BCD TO SEVEN SEGMEN

OLEH

NAMA : Mita Miranda

NIM : 211331016

PROGRAM STUDI TEKNIK TELEKOMUNIKASI

JURUSAN TEKNIK ELEKTRO

POLITEKNIK NEGERI BANDUNG

2021-2022

POLITEKNIK NEGERI BANDUNG


KETERANGAN

1. Judul Praktek : Decoder BCD to Seven

Segman

2. Tanggal Praktek : 30 Maret 2022

3. Tanggal Pengumpulan Laporan : 5 April 2022

4. Nama Praktikan : Mita Miranda


5. Nama Partner : Thiana Thesarindri
6. Nama Dosen :

1. Mina Nadiah Gani, DUT, ST, M.Eng.

2. Rifa Hanufatunnisa S.ST., M.T

POLITEKNIK NEGERI BANDUNG


DAFTAR ISI

I. MAKSUD DAN TUJUAN PERCOBAAN .......................................................


II. DASAR TEORI ................................................................................................
III. ALAT-ALAT YANG DIPERGUNAKAN .....................................................
IV. LANGKAH KERJA DAN RANGKAIAN PERCOBAAN ...........................
V. HASIL PRAKTIKUM......................................................................................
Gambar Praktikum .............................................................................................
VI. ANALISA DATA ...........................................................................................
VII. KESIMPULAN ..............................................................................................

POLITEKNIK NEGERI BANDUNG


I. MAKSUD DAN TUJUAN PERCOBAAN

Setelah melakukan praktikum ini mahasiswa mampu:

1. Mengenal dan memahami pinsip operasi rangkaian decoder.


2. Membuat rangkaian decoder dari gerbang logika.
3. Memahami IC dan seven segmen.

II. DASAR TEORI

a. Gerbang dasar/ Gerbang Logika

Gerbang Logika atau dalam bahasa Inggris disebut dengan Logic Gate adalah
dasar pembentuk Sistem Elektronika Digital yang berfungsi untuk mengubah satu
atau beberapa Input (masukan) menjadi sebuah sinyal Output (Keluaran) Logis.
Gerbang Logika beroperasi berdasarkan sistem bilangan biner yaitu bilangan yang
hanya memiliki 2 kode simbol yakni 0 dan 1 dengan menggunakan Teori Aljabar
Boolean.

Gerbang Logika yang diterapkan dalam Sistem Elektronika Digital pada


dasarnya menggunakan komponen-komponen elektronika seperti integrated
Circuit (IC), Dioda, Transistor, Relay, Optik maupun Elemen Mekanikal.

Di dalam teknik digital IC (integrated Circuit) adalah merupakan bagian yang


cukup penting, karena dengan adanya IC maka rangkaian-rangkaian logika dapat
dibuat dalam bentuk yang cukup kecil dan relatif lebih murah. Macam-macam
pembentukan rangkaian logika:

1. RTL (Resistor Transistor Logic)

2. DTL (Diode Transistor Logic)

3. ECL (Emitter Couple Logic)

4. TTL (Transistor Transistor Logic)

5. CMOS (Complementary Metal Oxide Semiconductor)

POLITEKNIK NEGERI BANDUNG


b. Tabel Kebenaran

Tabel kebenaran atau “Truth Table” adalah tabel yang digunakan untuk
melihat nilai kebenaran dari suatu pernyataan. Di sini tabel kebenaran dapat
diartikan sebagai tabel yang berisi kombinasi-kombinasi variabel masukan
(input) yang menghasilkan keluaran (output) yang logis

c. Project Board

Papan proyek atau Project Board atau Breadboard merupakan sebuah


papan hubung yang terdiri dari lubang lubang kecil tempat kaki komponen
yang satu sama lain saling berhububgan sesuai dengan alurnya. Pada arah
Vertikal masing masing lubang saling berhubungan, namun tidak untuk yang
arah horisontal. Papan Proyek biasa dipakai untuk bereksperimen membuat
rangkaian elektronika dengan daya rendah. Pada pengujian rangkaian
menggunakan project board, seseorang bisa bereksperimen dengan mengganti
nilai dari komponen atau bisa jadi merubah rangkaian.

Gambar 1 Project Board

d. IC 7448

IC 7448 adalah Dekoder BCD ke 7 segment jenis TTL adalah rangkaian


yang berfungsi untuk mengubah kode bilangan biner BCD (Binary Coded
Decimal) menjadi data tampilan untuk penampil/display 7 segment yang bekerja
pada tegangan TTL (+5 volt DC). Dekoder BCD ke 7 segmen yang digunakan
adalah jenis TTL. Decoder BCD ke 7 segmen jenis TTL ada beberapa macam
diantaranya keluarga IC TTL 7447 dan keluarga IC TTL 7448. Kedua IC TTL:
tersebut memiliki fungsi yang sama namun peruntukannya berbeda IC 7447
digunakan untuk driver 7 segment common anoda sedangkan IC 7448 digunakan
untuk driver dispaly 7 segment common cathode. IC dekoder BCD ke 7 segment

POLITEKNIK NEGERI BANDUNG


sering juga dikenal sebagai driver display 7 segment karena selalu digunakan
untuk memberikan driver sumber tegangan ke penampil 7 segmen.

Gambar 2 IC 7448

Prinsip Kerja
Kerja dari rangkaian ini adalah untuk menampilkan angka desimal ke
dalam sebuah display. Dalam aplikasi decoder, ketiga jalur kontrol (LT, RBI dan
RBO) harus diberikan logika HIGH dengan tujuan data input BCD dapat masuk
dan penampil 7-segment dapat menerima data tampilan sesuai data BCD yang
diberikan pada jalur input.
 Jalur input data BCD, pin input ini terdiri dari 4 line input yang
mewakili 4 bit data BCD dengan sebutan jalur input A, B, C dan D.
 Jalur output 7-segment, pin output ini berfungsi untuk
mendistribusikan data pengkodean ke penampil 7-segment. Pin output
decoder BCD ke 7-segment ini ada 7 pin yang masing-masing diberi
nama a, b, c, d, e, f dan g.
 Jalur LT (Lamp Test) yang berfungsi untuk menyalakan semua Led
pada penampil 7-segment, jalur LT akan aktif pada saat diberikan logika
LOW pada jalur LT tersebut.
 Jalur RBI (Riple Blanking Input) yang berfungsi untuk menahan
sinyal input (disable input), jalur RBI akan aktif bila diberikan logika
LOW

POLITEKNIK NEGERI BANDUNG


Datasheet.

Gambar 3 Datashet IC 7448

Diagram Logika

Gambar 5 Diagram Logika IC 7448

POLITEKNIK NEGERI BANDUNG


Tabel Kebenaran

Gambra 6 Tabel Kebenaran IC 7448

e. Seven Segmen

POLITEKNIK NEGERI BANDUNG


Seven segment merupakan bagian-bagian yang digunakan untuk
menampilkan angka atau bilangan decimal. Seven segment tersebut terbagi
menjadi 7 batang LED yang disusun membentuk angka 8 dengan menggunakan
huruf a-f yang disebut DOT MATRIKS. Setiap segment ini terdiri dari 1 atau 2
LED (Light Emitting Dioda). Seven segment bisa menunjukan angka-angka
desimal serta beberapa bentuk tertentu melalui gabungan aktif atau tidaknya LED
penyususnan dalam seven segment.

Supaya memudahkan penggunaannnya biasanya memakai sebuah sebuah


seven segment driver yang akan mengatur aktif atau tidaknya led-led dalam seven
segment sesuai dengan inputan biner yang diberikan. Bentuk tampilan modern
disusun sebagai metode 7 bagian atau dot matriks. Jenis tersebut sama dengan
namanya, menggunakan sistem tujuh batang led yang dilapis membentuk angka 8
seperti yang ditunjukkan pada gambar di atas. Huruf yang dilihatkan dalam
gambar itu ditetapkan untuk menandai bagian-bagian tersebut.

Dengan menyalakan beberapa segmen yang sesuai, akan dapat


diperagakan digit-digit dari 0 sampai 9, dan juga bentuk huruf A sampai F
(dimodifikasi). Sinyal input dari switches tidak dapat langsung dikirimkan ke
peraga 7 bagian, sehingga harus menggunakan decoder BCD (Binary Code
Decimal) ke 7 segmen sebagai antar muka. Decoder tersebut terbentuk dari pintu-
pintu akal yang masukannya berbetuk digit BCD dan keluarannya berupa saluran-
saluran untuk mengemudikan tampilan 7 segmen. Cara kerjanya juga sangat
gampang, ketika elemen tersebut diberikan gelombang listrik, maka Display akan
menampilkan angka atau digit yang diinginkan sesuai dengan kombinasi yang
diberikan.

LED 7 Segmen terbagi menjado dua jenis yaitu “LED 7 Segmen common
Cathode” dan “LED 7 Segmen common Anode”.

1) LED 7 Segmen Common Cathode (tipe katoda)

Pada LED 7 Segmen jenis Common Cathode (Katoda), Kaki


Katoda pada semua segmen LED adalah terhubung menjadi 1 Pin,
sedangkan Kaki Anoda akan menjadi Input untuk masing-masing
Segmen LED. Kaki Katoda yang terhubung menjadi 1 Pin ini
merupakan Terminal Negatif (-) atau Ground sedangkan Signal
Kendali (Control Signal) akan diberikan kepada masing-masing Kaki
Anoda Segmen LED.

2) LED 7 Segmen Common Anoda (tipe anoda)

Pada LED 7 Segmen jenis Common Anode (Anoda), Kaki


Anoda pada semua segmen LED adalah terhubung menjadi 1 Pin,
sedangkan kaki Katoda akan menjadi Input untuk masing-masing
Segmen LED. Kaki Anoda yang terhubung menjadi 1 Pin ini akan

POLITEKNIK NEGERI BANDUNG


diberikan Tegangan Positif (+) dan Signal Kendali (control signal)
akan diberikan kepada masing-masing Kaki Katoda Segmen LED.

III. ALAT-ALAT YANG DIPERGUNAKAN


1. Alat yang dipergunakan:
- Projectboard
- Power Supply
2. Komponen yang digunakan:
- IC 7448 : 1 buah
- Seven segmen katoda : 1 buah
- Resistor 330 Ω : 7 buah
- Kabel jumper : secukupnya

IV. LANGKAH KERJA DAN RANGKAIAN PERCOBAAN


1. alat dan bahan disiapkan
2. Seven segmen dan IC 7448 disusun pada papan percobaan
3. Kaki seven segmen dihubungkan ke kaki IC 7448 dengan kabel
penghubung sesuai dengan skema alat, yaitu :
a. Kaki 15 IC 7448 dihubungkan dengan kaki f seven segmen
b. Kaki 14 IC 7448 dihubungkan dengan kaki g seven segmen
c. Kaki 13 IC 7448 dihubungkan dengan kaki a seven segmen
d. Kaki 12 IC 7448 dihubungkan dengan kaki b seven segmen
e. Kaki 11 IC 7448 dihubungkan dengan kaki c seven segmen
f. Kaki 10 IC 7448 dihubungkan dengan kaki d seven segmen
g. Kaki 9 IC 7448 dihubungkan dengan kaki e seven segmen

POLITEKNIK NEGERI BANDUNG


4. Kaki ground pada kedua sisi seven segmen dihubungkan
5. Rangkaian dihubungkan pada sumber tegangan:
a. Kaki 16 IC 7448 dihubungkan dengan positif baterai
b. Kaki 8 IC 7448 dihubungkan dengan negatif baterai
6. Mentapkan kaki 1, 2, 6, dan 7 berturut turut sebagai kaki input B, C, D, A
7. Input BCD seperti yang tertera pada tabel data pengamatan dimasukkan
8. Mengamati output yang dihasilkan
9. Mencatat hasil percobaan pada tabel data pengamatan

V. TABEL PERCOBAAN DAN FOTO PRAKTIKUM

POLITEKNIK NEGERI BANDUNG


Gambar Praktikum

INPUT GAMBAR PRAKTIKUM


D C B A
0 0 0 0

0 0 0 1

0 0 1 0

POLITEKNIK NEGERI BANDUNG


0 0 1 1

0 1 0 0

0 1 0 1

POLITEKNIK NEGERI BANDUNG


0 1 1 0

0 1 1 1

1 0 0 0

1 0 0 1

POLITEKNIK NEGERI BANDUNG


1 0 1 0

1 0 1 1

1 1 0 0

1 1 0 1

POLITEKNIK NEGERI BANDUNG


1 1 1 0

1 1 1 1

POLITEKNIK NEGERI BANDUNG


VI. ANALISA DATA

Dari tabel data pengamatan di atas, diperoleh data sebagai berikut:

 Saat kaki D, C, B, A dari IC 7448 berturut-turut diberi input 0, 0, 0,


0 diperoleh keluaran dari seven segmen a hingga berturut-turut
adalah 1, 1, 1, 1, 1, 1, 0 (menunjukkan desimal 0)
 Saat kaki D, C, B, A dari IC 7448 berturut diberi input 0, 0, 0, 1
diperoleh keluaran dari seven segmen a hingga berturut-turut
adalah 0, 1, 1, 0, 0, 0, 0 (menunjukkan desimal 1)
 Saat kaki D, C, B, A dari IC 7448 berturut diberi input 0, 0, 1, 0
diperoleh keluaran dari seven segmen a hingga berturut-turut
adalah 1, 1, 0, 1, 1, 0, 1 (menunjukkan desimal 2)
 Saat kaki D, C, B, A dari IC 7448 berturut diberi input 0, 0, 1, 1
diperoleh keluaran dari seven segmen a hingga berturut-turut
adalah 1, 1, 1, 1, 0, 0, 1 (menunjukkan desimal 3)
 Saat kaki D, C, B, A dari IC 7448 berturut diberi input 0, 1, 0, 0
diperoleh keluaran dari seven segmen a hingga berturut-turut
adalah 0, 1, 1, 0, 0, 1, 1 (menunjukkan desimal 4)
 Saat kaki D, C, B, A dari IC 7448 berturut diberi input 0, 1, 0, 1
diperoleh keluaran dari seven segmen a hingga berturut-turut
adalah 1, 0, 1, 1, 0, 1, 1 (menunjukkan desimal 5)
 Saat kaki D, C, B, A dari IC 7448 berturut diberi input 0, 1, 1, 0
diperoleh keluaran dari seven segmen a hingga berturut-turut
adalah 0, 0, 0, 1, 1, 1, 1 (menunjukkan desimal 6)
 Saat kaki D, C, B, A dari IC 7448 berturut diberi input 0, 1, 1, 1
diperoleh keluaran dari seven segmen a hingga berturut-turut
adalah 1, 1, 1, 0, 0, 0, 0 (menunjukkan desimal 7)
 Saat kaki D, C, B, A dari IC 7448 berturut diberi input 1, 0, 0, 0
diperoleh keluaran dari seven segmen a hingga berturut-turut
adalah 1, 1, 1, 1, 1, 1, 1 (menunjukkan desimal 8) 12
POLITEKNIK NEGERI BANDUNG
 Saat kaki D, C, B, A dari IC 7448 berturut diberi input 1, 0, 0, 1
diperoleh keluaran dari seven segmen a hingga berturut-turut
adalah 1, 1, 1, 0, 0, 1, 1 (menunjukkan desimal 9)

Jika dilihat dari penjabaran diatas, maka hasil percobaan yang dilakukan
sesuai dengan teori. Output yang diperoleh dapat membentuk pola desimal

POLITEKNIK NEGERI BANDUNG


VII. KESIMPULAN

Rangkaian decoder BCD ke seven segmen berfungsi sebagai penampil input


yang berupa bilangan biner menjadi nilai desimalnya. Dengan cara input biner
masuk ke dalam decoder, kemudian decoder mengkonversi bilangan biner
menjadi desimal, yang nantinya desimal akan ditampilkan pada seven segment.

POLITEKNIK NEGERI BANDUNG


I. DAFTAR PUSTAKA

[1] Anonim. 03 November 2014. Dari Elektro Digital: Rangkaian IC 7448


(elektronikadigitalcikampek.blogspot.com) diakses pada 3 April 2022

[2] Anonim. 3 November 2014. Dari DIGITAL CIKAMPEK (kelompok


8): Pengertian dan fungsi IC 7448 (cikampekdigital.blogspot.com) diakses pada 3
April 2022

[3] Maulana KH. 3 November 2014. Dari Belajar IC TTL: IC 74LS48


(belajardigital02.blogspot.com) diakses pada 4 April 2022

POLITEKNIK NEGERI BANDUNG

Anda mungkin juga menyukai