Anda di halaman 1dari 8

Laporan Pendahuluan

Praktikum Elektronika II
Modul Praktikum
BCD Seven Segment dengan VHDL
Nama : Abdulhaqi Hamdi
NPM : 2106724050
Rekan Kerja : Muhammad Aliza
Kelompok : 13
Hari : Kamis (D)
Tanggal : 3 November 2022
Modul ke :7

Laboratorium Elektronika – Departemen Fisika


Fakultas Matematika dan Ilmu Pengetahuan Alam
Universitas Indonesia
2022
1

MODUL 7
BCD Seven Segment dengan VHDL

TUJUAN
1. Untuk merancang dan membangun logika BCD Seven Segment menggunakan
VHDL.
2. Untuk mensimulasikan, mensintesis, dan mengimplementasikan desain.
3. Untuk memprogram desain yang telah selesai ke ZYBO Development Board
FPGA.
4. Untuk menganalisis hubungan ZYBO Development Board FPGA dengan
tampilan komponen Seven Segment.
TEORI DASAR
Pengonversi Kode
Tujuan dari sirkuit decoder dan encoder adalah untuk mengubah dari satu jenis
pengkodean input ke pengkodean output yang berbeda. Misalnya, dekoder biner 3-ke-
8 dikonversi dari angka biner pada input ke one-hot encoding pada output. Encoder
biner 8-ke-3 melakukan konversi yang berlawanan.
Ada banyak kemungkinan jenis konverter kode lainnya. Salah satu contoh
umum adalah BCD-to-7-segment decoder, yang mengubah satu digit desimal berkode
biner (BCD) menjadi informasi yang cocok untuk mendorong tampilan berorientasi
digit. Seperti yang diilustrasikan pada gambar 8.1 (a), sirkuit mengubah digit BCD
menjadi tujuh sinyal yang digunakan untuk menggerakkan segmen di layar. Setiap
segmen adalah dioda pemancar cahaya LED, yang bersinar saat digerakkan oleh sinyal
listrik. Segmen-segmen tersebut diberi label dari a ke g pada gambar.

Universitas Indonesia 2022


2

Gambar 8.1. (a) Konverter Kode dan 7-segment Display

Gambar 8.2. Pmod Diagram

Gambar 8.3. Pmod Pinout ZYBO Development Board

Universitas Indonesia 2022


3

Gambar 8.4. Tabel Pmod Pinout


Pmod digunakan untuk menghubungkan pin IN dan OUT rendah dan untuk
menghubungkan frekuensi rendah. Ada dua versi PMOD, enam pin dan dua belas pin.
PMOD dua belas pin menyediakan delapan pin sinyal, dua pin daya, dan dua pin
ground dengan dua dari antarmuka enam pin yang ditumpuk sama seperti gambar 8.2.
Anda dapat langsung mencolokkan konektor pada papan pengontrol host atau
terhubung ke papan pengontrol menggunakan kabel dua belas pin.
Komponen Seven Segment sebagaimana diilustrasikan pada gambar 8.1 (b),
dibagi menjadi dua jenis, yaitu Common Cathode dimana terminal negatif atau ground
(GND) menjadi 1 Pin dan terminal positif menjadi input. Sebaliknya, tipe kedua adalah
Common Anode di mana terminal positif atau Vcc menjadi 1 Pin dan pin katoda
menjadi input.
Untuk setiap penilaian input w3, . . . , w0, tujuh output diatur untuk
menampilkan digit BCD yang sesuai. Perhatikan bahwa 6 baris terakhir dari tabel
kebenaran 16 baris lengkap tidak diperlihatkan. Mereka mewakili kondisi tidak peduli
karena mereka bukan kode BCD legal dan tidak akan pernah terjadi di sirkuit yang
berhubungan dengan data BCD. Sirkuit yang mengimplementasikan tabel kebenaran
dapat diturunkan menggunakan teknik sintesis. Akhirnya, kita harus mencatat bahwa
meskipun kata decoder secara tradisional digunakan untuk sirkuit ini, istilah yang lebih
tepat adalah konverter kode. Istilah decoder lebih tepat untuk rangkaian yang
menghasilkan output one-hot encoder.

Universitas Indonesia 2022


4

Tabel 8.1. Tabel Kebenaran untuk BCD Seven Segment


w1 w2 w3 w4 a b c d e f g
0 0 0 0 1 1 1 1 1 1 0
0 0 0 1 0 1 1 0 0 0 0
0 0 1 0 1 1 0 1 1 0 1
0 0 1 1 1 1 1 1 0 0 1
0 1 0 0 0 1 1 0 0 1 1
0 1 0 1 1 0 1 1 0 1 1
0 1 1 0 1 0 1 1 1 1 1
0 1 1 1 1 1 1 0 0 0 0
1 0 0 0 1 1 1 1 1 1 1
1 0 0 1 1 1 1 1 0 1 1

TEORI TAMBAHAN
Dekoder merupakan rangkaian elektronika yang berfungsi untuk menampilkan
kode-kode biner menjadi karakter yang dapat dipahami secara visual. Decoder BCD ke
7 segment merupakan rangkaian elektronika yang berfungsi untuk mengubah kode
BCD menjadi karakter tampilan angka desimal yang dapat dilihat secara visual. Dalam
skema pengkodean Binary Coded Decimal (BCD) masing-masing angka desimal (0-9)
diwakili oleh pola biner yang setara (yang umumnya 4-bit).
Alat elektronik ini terdiri dari tujuh Light Emitting Diodes (LEDs) yang disusun
dalam beberapa pola tertentu (jenis common katoda atau common anode), yang
digunakan untuk menampilkan angka Heksadesimal (dalam hal ini bilangan desimal,
sebagai inputan). adalah BCD yaitu, 0-9). Dua jenis tampilan LED tujuh segmen:
- Jenis Katoda Umum: Dalam jenis tampilan ini semua katoda dari tujuh LED
dihubungkan bersama ke ground atau -Vcc (karenanya, katoda umum) dan LED
menampilkan angka ketika beberapa sinyal 'TINGGI' dipasok ke masing-
masing anoda.

Universitas Indonesia 2022


5

- Jenis Anoda Umum: Dalam jenis tampilan ini semua anoda dari tujuh LED
terhubung ke baterai atau +Vcc dan LED menampilkan angka ketika beberapa
sinyal 'RENDAH' dipasok ke masing-masing katoda.
Namun, tampilan tujuh segmen tidak berfungsi dengan langsung memasok
tegangan ke berbagai segmen LED. Pertama, angka desimal diubah menjadi sinyal
setara BCD-nya kemudian BCD ke dekoder tujuh segmen mengubah sinyal itu ke
bentuk yang diumpankan ke tampilan tujuh segmen.
ALAT DAN BAHAN
1. ZYBO Zynq7000
2. Micro-USB Power USB Cable
3. PC/Laptop 64bit dengan VivadoTM Software
4. Seven Segment
5. Resistor
6. Protoboard
PROSEDUR PERCOBAAN
BCD Seven Segmen
1. Buat proyek baru, berikan deskripsi tentang definisi modul, entitas, dan port
yang akan digunakan
2. Gambar dan desain logika BCD Seven Segment menggunakan VHDL. Atur
I/O definitions dan constraints: input dalam sakelar sw0, swl, w2, dan sw3
ke Ain, Bin, Cin, dan Din, dan PMOD yang akan digunakan untuk Aout,
Bout, Cout, Dout, Eout, Fout, dan Gout
3. Uraikan dan simulasikan desainnya. Verifikasi skema dengan logika desain
awal
4. Simulasikan desain. Periksa pola simulasi perilaku
5. Hubungkan PMOD ke Seven Segment ke protoboard, tergantung pada
Seven Segment yang akan digunakan (katoda umum atau anoda umum)
6. Sintesis, implementasikan, dan program perangkat. Catat status output untuk
setiap kemungkinan input.
Input Output

Universitas Indonesia 2022


6

Ain Bin Cin Din Aout Bout Cout Dout Eout Fout Gout Value
0 0 0 0
0 0 0 1
0 0 1 0
0 0 1 1
0 1 0 0
0 1 0 1
0 1 1 0
0 1 1 1
1 0 0 0
1 0 0 1

Figure 8.5. Desain Skematik BCD Seven Segment

Universitas Indonesia 2022


7

SIMULASI
Simulasi Hasil

REFERENSI
• “BCD to 7 Segment Decoder - GeeksforGeeks.” GeeksforGeeks, 19 Jan. 2018,
https://www.geeksforgeeks.org/bcd-to-7-segment-decoder/.
• Purnama, Agus. “Dekoder BCD Ke 7 Segment.” Elektronika Dasar-Teori
Dasar Elektronika, Karakteristik Komponen Elektronika, Rangkaian
Elektronika Dasar, Artikel Dan Aplikasinya, http://elektronika-
dasar.web.id/dekoder-bcd-ke-7-segment/. Diakses pada 2 Nov. 2022.

Universitas Indonesia 2022

Anda mungkin juga menyukai