Anda di halaman 1dari 18

MAKALAH

ORGANISASI DAN ARSITEKTUR KOMPUTER

“ULTRA SPARC II”

Dosen Pengampuh : Sirwan, S.Pd., M.Pd.

KELOMPOK 7 :

Muh Arifin (20192205155)


Syamsurijal (20192205167)
Sardi (20192205170)
M. Alief Ruslan (20192205177)
Aswin Gilland Pagala Tadampare (20202215008)

TEKNIK INFORMATIKA
STMIK AKBA MAKASSAR
2021

i
DAFTAR ISI

DAFTAR ISI..........................................................................................................................................i
KATA PENGANTAR...........................................................................................................................1
BAB I PENDAHULUAN......................................................................................................................2
A. Latar Belakang..........................................................................................................................2
B. Rumusan Masalah.....................................................................................................................2
C. Tujuan.......................................................................................................................................2
BAB II ISI.............................................................................................................................................3
A. Ultra Sparc II............................................................................................................................3
1. UltraSPARC IIi....................................................................................................................6
2. UltraSPARC IIe....................................................................................................................6
3. UltraSPARC IIe+.................................................................................................................6
4. Gemini..................................................................................................................................6
B. Sejarah Ultra Sparc II...............................................................................................................7
C. Arsitektur dan Struktur Ultra Sparc II.......................................................................................9
BAB III PENUTUP.............................................................................................................................14
A. Kesimpulan.............................................................................................................................14
B. Saran.......................................................................................................................................14
DAFTAR PUSTAKA................................................................................................................................15

i
KATA PENGANTAR

Puji syukur kehadirat Allah SWT yang telah memberikan rahmat dan hidayah-Nya sehingga
kami dapat menyelesaikan tugas makalah yang berjudul (Ultra Sparc II) ini tepat pada
waktunya.

Adapun tujuan dari penulisan dari makalah ini adalah untuk memenuhi tugas dari bapak
Sirwan, S.Pd., M.Pd. dosen pada mata kuliah Organisasi dan Arsitektur Komputer. Selain itu,
makalah ini juga bertujuan untuk menambah wawasan tentang (Ultra Sparc II) bagi para
pembaca dan juga bagi penulis.

Kami mengucapkan terima kasih kepada bapak Sirwan, S.Pd., M.Pd. yang telah memberikan
tugas ini sehingga dapat menambah pengetahuan dan wawasan sesuai dengan bidang studi
yang saya tekuni.

Kami menyadari, makalah yang kami tulis ini masih jauh dari kata sempurna. Oleh karena
itu, kritik dan saran yang membangun akan kami nantikan demi kesempurnaan makalah ini.

Makassar 3 November 2021

Kelompok 7

1
BAB I
PENDAHULUAN

A. Latar Belakang
Pada Saat ini, teknologi berkembang sangat pesat dan semakin canggih.
Perkembangan teknologi ini tentunya erat kaitannya dengan perkembangan teknologi
komputer. Teknologi komputer merupakan penunjang dan penggerak kemajuan
teknologi informasi di era sekarang ini. Dan tidak dapat dipungkiri bahwa ilmu
elektronika mempunyai pengaruh yang besar terhadap perkembangan teknologi.
Komputer dapat mengontrol berbagai perangkat elektronik menggunakan chip IC
yang dapat membawa program dan logika yang disebut teknologi mikroprosesor.

B. Rumusan Masalah
Uraian rumusan masalahnya meliputi :
1. Apa pengertian Ultra Sparc II ?
2. Bagaimana sejarah Ultra Sparc II ?
3. Bagaimana Arsitektur dan Struktur Ultra Sparc II ?

C. Tujuan
1. Untuk mengetahui apa pengertian Ultra Sparc II ?
2. Untuk mengetahui bagaimana sejarah Ultra Sparc II ?

2
BAB II
ISI

A. Ultra Sparc II

SPARC (berasal dari Scalable Processor ARChitecture) adalah RISC


(Reduced Instruction Set Computing) ISA (Instruction Set Architecture) yang
dikembangkan oleh Sun Microsystems. Mikroprosesor SPARC ini dapat ditemukan di
notebook hingga superkomputer seperti server perusahaan. Mereka menjalankan
sistem operasi seperti Solaris, OpenBSD dan NetBSD.

UltraSPARC adalah prosesor superscalar berkinerja tinggi yang sangat


terintegrasi yang mengimplementasikan arsitektur 64-bit SPARC-V9 RISC.
UltraSPARC mampu mempertahankan eksekusi hingga empat instruksi per siklus,
bahkan di hadapan cabang bersyarat dan cache tidak terjawab. Hal ini terutama
disebabkan oleh asinkron aspek instruksi dan data dari unit yang memberi makan ke
seluruh jalur pipa. Instruksi yang diprediksi akan dieksekusi dikeluarkan dalam urutan
program ke beberapa unit fungsional, dieksekusi secara paralel dan, untuk paralelisme
tambahan, dapat menyelesaikan out-oforder. Untuk lebih meningkatkan jumlah
instruksi yang dieksekusi per siklus (IPC), instruksi dari dua blok dasar (yaitu,
instruksi sebelum dan sesudah cabang bersyarat) dapat dikeluarkan dalam kelompok
yang sama.

UltraSPARC terimplementasi penuh dari arsitektur SPARC-V9 64-bit. Ini


mendukung ruang alamat virtual 44-bit dan ruang alamat fisik 41-bit. Inti set instruksi
telah diperluas untuk menyertakan instruksi grafis yang menyediakan:
operasi paling umum yang terkait dengan pemrosesan gambar dua dimensi, grafik dua
dan tiga dimensi dan algoritma kompresi gambar, dan parallel operasi pada data
piksel dengan komponen 8- dan 16-bit. Dukungan untuk copy bandwidth tinggi juga
disediakan melalui instruksi pemuatan blok dan penyimpanan blok.

3
UltraSPARC II, dengan nama kode "Blackbird", adalah implementasi
mikroprosesor dari SPARC V9 Instruction Set Architecture (ISA) yang
dikembangkan oleh Sun Microsystems. Mark Tranbray adalah Kepala Arsitek.
Diperkenalkan pada tahun 1997, ini merupakan pengembangan lebih lanjut dari
UltraSPARC, yang beroperasi pada frekuensi clock di atas 250 MHz dan akhirnya
mencapai 650 MHz.
Dadu berisi 5,4 juta transistor dan memiliki luas 149 mm². Diproduksi oleh Texas
Instruments dalam proses 0.35m, mengkonsumsi 25W pada 205MHz dan
menggunakan catu daya 2.5V. Kapasitas cache L2 adalah 1 hingga 4 MB.
Pada tahun 1999, UltraSPARC II di-porting ke proses 0,25m. Nama kode untuk versi
ini adalah "Black Sapphire". Ini beroperasi dari 360 hingga 480 MHz, memiliki area
die 126 mm², mengkonsumsi 21 W pada 400 MHz, dan tegangan suplai turun menjadi
1,9 V. Kapasitas cache L2 yang didukung telah ditingkatkan dari 1MB menjadi 8MB.

CPU UltraSPARC™-II dirancang sebagai solusi hemat biaya, skalabel, dan andal
untuk workstation dan server kelas atas. Memenuhi tuntutan komputasi perusahaan
yang sangat penting, CPU UltraSPARC™-II menjalankan aplikasi perusahaan yang
membutuhkan throughput data yang tinggi. Hal ini ditandai dengan bilangan bulat
tinggi dan mengambang point performance: mempercepat kinerja aplikasi secara
optimal, khususnya aplikasi multimedia.
Menghadirkan bandwidth memori tinggi, pemrosesan media, dan kinerja komputasi
mentah, UltraSPARC™-II menggabungkan teknologi inovatif yang menurunkan
biaya kepemilikan.

Desain UltraSPARC-II sangat didasarkan pada UltraSPARC-I, kinerja dan tujuan


desain system memerlukan peningkatan kritis. Performa
floating point ditingkatkan dengan menerapkan perangkat
lunak yang dikendalikan prefetch dan tingkatkan
antarmuka memori yang tersedia bandwidth prosesor.
Akun tambahan untuk memperkirakan peningkatan 10%
di SPECfp92, dengan dampak yang dapat diabaikan pada
area mati.

4
Mikroarsitektur prosesor UltraSPARC II dirancang untuk menyediakan hingga
dukungan multiprosesor tanpa lem 4 arah dan mendukung hingga sistem 64-arah.
Prosesor mendukung beberapa kecepatan dan ukuran cache L2 untuk memungkinkan
sistem multiprosesor berkinerja tinggi.

Kinerja sistem keseluruhan yang seimbang membutuhkan optimal kinerja di


sepanjang tiga tingkat kritis: bandwidth memori, pemrosesan media, dan kinerja
komputasi mentah. Interkoneksi sistem berkinerja tinggi yang sangat skalabel
memastikan lingkungan komputasi bebas hambatan yang menghasilkan bandwidth
memori yang tinggi. VIS™ (Instruksi Visual Tetapkan) ekstensi multimedia
meningkatkan kinerja aplikasi multimedia grafis-intensif, dan dengan demikian
mengurangi biaya sistem secara keseluruhan dengan menghilangkan kebutuhan untuk
prosesor media tujuan khusus. Dan UltraSPARC II memberikan kinerja komputasi
mentah yang unggul dengan menggunakan arsitektur mikroprosesor RISC paling
inovatif dan teknologi proses mutakhir.

Prosesor UltraSPARC II tidak hanya membantu system desainer dengan menerapkan


pengujian standar industri dan antarmuka instrumentasi, juga menggunakan
Pemeriksaan Kesalahan & Koreksi (ECC) dan paritas untuk meningkatkan keandalan
sistem. Dengan kinerja tinggi, skalabilitas tinggi, dan keandalan tinggi, UltraSPARC
II adalah prosesor pilihan untuk masa kini. workstation dan server.

Prosesor UltraSPARC™ II yang canggih adalah yang kedua generasi dalam keluarga
mikroprosesor UltraSPARC s-series. Implementasi lengkap arsitektur SPARC™ V9,
prosesor UltraSPARC II kompatibel dengan biner dengan semua versi prosesor
SPARC sebelumnya, menghasilkan dalam peningkatan laba atas investasi Anda.
Dirancang untuk skalabilitas dari workstation utama di kelas bawah hingga server
superkomputer kelas atas, prosesor UltraSPARC II memberikan kinerja dan
keandalan yang tinggi. Memenuhi dan melampaui tuntutan komputasi yang intensif
saat ini, berpusat pada jaringan, dan kaya multimedia aplikasi, prosesor UltraSPARC
II mengimplementasikan teknologi inovatif yang membantu menurunkan tingkat
pengguna akhir total biaya kepemilikan.

5
UltraSPARC II memiliki 4 dasar turunan.

1. UltraSPARC IIi
UltraSPARC IIi "Sabre" menampilkan pengontrol
PCI on-chip versi murah yang diperkenalkan pada
tahun 1997 yang dapat beroperasi hingga 270-360
MHz. UltraSPARC IIi dibuat dalam proses 0,35 m
dan memiliki ukuran die 156 mm². Hal ini dapat
menghilangkan 21 W dan menggunakan catu daya
1,9 V. UltraSPARC IIi memiliki cache 256 KB hingga 2 MB L2. Pada tahun
1998, sebuah versi dengan nama kode Sapphire-Red, dibuat dalam proses 0,25
m, memungkinkan mikroprosesor untuk beroperasi pada 333 hingga 480
MHz. Hal ini dapat menghilangkan 21 W pada 440 MHz dan menggunakan
catu daya 1,9 V.

2. UltraSPARC IIe
UltraSPARC IIe "Hummingbird" adalah versi
tertanam yang diperkenalkan pada tahun 2000
yang dapat beroperasi hingga 400-500 MHz,
dibuat dalam proses 0,18 m dengan interkoneksi
aluminium. UltraSPARC IIe dapat menghilangkan
maksimum 13 W pada 500 MHz, menggunakan
catu daya 1,5-1,7 V dan memiliki cache L2 256 KB.

3. UltraSPARC IIe+
UltraSPARC IIe+ atau IIIi diperkenalkan pada tahun 2002. Dengan nama kode
"Phantom", yang dapat beroperasi hingga 550-650 MHz dan dibuat dalam
proses 0,18 m dengan interkoneksi tembaga. UltraSPARC IIe+ dapat
menghilangkan 17,6 W dan menggunakan catu daya 1,7 V. Itu memiliki cache
L2 512 KB.

4. Gemini
Gemini adalah upaya pertama oleh Sun untuk menghasilkan mikroprosesor
multithreaded. Itu telah direkam, tetapi dibatalkan sebelum diperkenalkan
setelah pengumuman mikroprosesor UltraSPARC T1 Niagara pada awal 2004.
Ini terdiri dari dua inti UltraSPARC II dan cache L2 on-die pada satu chip.

6
Abstrak DAC 2004 menggambarkan prosesor UltraSPARC II dual-core di
Sesi 40. "Dual-Core UltraSPARC (2003)" didasarkan pada mikroarsitektur
UltraSPARC II dan menampilkan: pengontrol memori DDR-1, antarmuka
JBUS, cache L1 yang dilindungi paritas, ECC melindungi cache Level 2
dalam chip 512KB ganda, frekuensi clock 1,2 GHz, 80 juta transistor, ukuran
die 206mm^2, dan daya yang dihamburkan 23 watt.

B. Sejarah Ultra Sparc II


SPARC adalah singkatan dari Scalable Processor ARChitecture, yang pertama
kali diumumkan di 1987. Tidak seperti arsitektur prosesor yang lebih tradisional,
SPARC adalah standar terbuka, tersedia secara bebas melalui lisensi dari SPARC
International, Inc. Setiap perusahaan yang memperoleh lisensi dapat memproduksi
dan menjual prosesor yang sesuai dengan SPARC.
Pada awal 1990-an, prosesor SPARC tersedia dari lebih dari 12 yang berbeda vendor,
dan lebih dari 8.000 aplikasi yang sesuai dengan SPARC telah disertifikasi.
Pada tahun 1994, SPARC International, Inc. menerbitkan The SPARC Architecture
Manual, Versi 9, yang mendefinisikan peningkatan 64-bit yang kuat untuk arsitektur
SPARC.
SPARC-V9 memberikan dukungan untuk:
• Alamat virtual 64-bit dan data integer 64-bit
• Toleransi kesalahan
• Penanganan jebakan cepat dan peralihan konteks
• Pesanan byte big-endian dan little-endian
UltraSPARC adalah keluarga pertama dari prosesor yang sesuai dengan SPARC-V9
yang tersedia dari Sun Microsystems, Inc.

Ada tiga revisi besar arsitektur . Versi pertama yang dirilis adalah 32-bit
SPARC Versi 7 (V7) pada tahun 1986. SPARC versi 8 (V8), definisi yang lebih baik
dari arsitektur SPARC, dirilis pada tahun 1990. Perbedaan utama antara V7 dan V8
adalah penambahan instruksi perkalian dan pembagian bilangan bulat dan
peningkatan dari aritmatika titik-mengambang 80-bit dengan "presisi yang diperluas"
menjadi aritmatika 128-bit dengan "presisi orde keempat". SPARC V8 berfungsi

7
sebagai dasar untuk Standar IEEE 17541994, standar IEEE untuk arsitektur
mikroprosesor 32-bit.

SPARC versi 9, arsitektur SPARC 64-bit dirilis oleh SPARC International pada tahun
1993. Ini dikembangkan oleh Komite Arsitektur SPARC, yang terdiri dari Amdahl,
Fujitsu, ICL, LSI Logic, Matsushita, Philips, Ross Technology, Sun Microsystems,
dan Texas Instruments. Spesifikasi baru selalu sepenuhnya sesuai dengan spesifikasi
SPARC V9 Level 1.

Pada tahun 2002, SPARC Spesifikasi Pemrograman Bersama 1 (JPS1) diterbitkan


oleh Fujitsu dan Sun. Ini menggambarkan fungsionalitas prosesor ("kesamaan") yang
diimplementasikan di CPU kedua perusahaan dengan cara yang sama. CPU pertama
yang sesuai dengan JPS1 adalah UltraSPARC III Sun dan SPARC64 V dari Fujitsu.
Fitur yang tidak tercakup oleh JPS1 didokumentasikan untuk setiap prosesor dalam
"Suplemen Implementasi".

Pada akhir 2003, JPS2 dirilis untuk mendukung CPU multi-core. CPU pertama yang
kompatibel dengan JPS2 adalah UltraSPARC IV Sun dan SPARC64 VI dari Fujitsu.

Pada awal tahun 2006, Sun merilis UltraSPARC Architecture 2005, sebuah spesifikasi
arsitektur yang diperluas. Ini tidak hanya mencakup bagian SPARC V9 yang tidak
memiliki hak istimewa dan paling istimewa, tetapi juga semua ekstensi arsitektur
yang dikembangkan oleh prosesor generasi UltraSPARC III, IV IV +. Dan ekstensi
CMT dari penerapan UltraSPARC T1:

Beberapa level register global yang dikendalikan oleh instruksi VIS mengatur ekstensi
1 dan VIS2 dan register GSR terkait register GL Sun arsitektur MMU 64-bit, perintah
istimewa ALLCLEAN, OTHERW, NORMALW, dan INVALW VER hak istimewa
untuk mengakses register Menambahkan Perintah SIR Sekarang Sangat Istimewa
Pada tahun 2007, Sun merilis UltraSPARC Architecture 2007, spesifikasi terbaru
yang dipatuhi oleh implementasi UltraSPARC T2.

8
Pada Agustus 2012, Oracle merilis spesifikasi baru, Oracle SPARC Architecture
2011. Ini menambahkan ekstensi set instruksi VIS3 dan mode hyperprivileged ke
spesifikasi 2007, selain pembaruan umum referensi.

Pada Oktober 2015, Oracle merilis prosesor pertama, SPARC M7, berdasarkan
spesifikasi Oracle SPARC Architecture 2015 yang baru. Revisi ini mencakup ekstensi
set instruksi VIS 4, enkripsi yang didukung perangkat keras, dan Silicon Secure
Memory (SSM).

Arsitektur SPARC telah menyediakan kompatibilitas biner aplikasi berkelanjutan


melalui implementasi arsitektur Sun UltraSPARC sejak implementasi pertama
SPARC V7 pada tahun 1987.

Di antara berbagai implementasi SPARC, Sun's SuperSPARC dan UltraSPARCI


sangat populer dan digunakan sebagai sistem referensi untuk benchmark CPU95 dan
CPU2000 SPEC. UltraSPARCII 296 MHz adalah sistem referensi benchmark
SPECCPU 2006

C. Arsitektur dan Struktur Ultra Sparc II

Transistors : 5.4M
Process technology : 0.25 micron, 5 metal layers
Die size : 126 mm sq.
Frequency range : 250-480MHz
Core voltage : 1.9V
Power dissipation : 21W @ 400MHz

9
On-chip instruction cache : 16KB
On-chip data cache : 16KB
L2 cache size : 256KB-16MB
SRAM clocking ratios : 1-1-1, 2-2
Max. Bandwidth to L2 cache : 5333MB/sec
CPU to system clocking ratios : 2:1, 3:1, 4:1
Max. bandwidth to memory : 1.92GB/sec @ 120MHz
UPA Outstanding memory requests : 3 loads, 2 stores
Software data prefetch : yes
Integer execution units :4
Floating-point execution units :3
Graphics execution unit :1
System Performance (est) : 19.6 (SPECint95) and 27.1 (SPECfp95) @
450MHz
System I/O voltage : 3.3V

Arsitektur SPARC sangat dipengaruhi oleh desain RISC sebelumnya seperti


RISC I dan II di University of California, Berkeley dan IBM 801. Desain RISC asli
minimal, dengan fitur dan kode OP sesedikit mungkin, dan itulah tujuannya. ,
Instruksi dengan kecepatan sekitar satu instruksi per siklus clock. Ini mirip dengan
arsitektur MIPS dalam banyak hal, termasuk tidak ada instruksi seperti perkalian atau
pembagian. Fitur lain dari SPARC yang dipengaruhi oleh langkah awal RISC ini
adalah slot tunda cabang.

Prosesor SPARC biasanya berisi hingga 160 register tujuan umum. Menurut
spesifikasi Arsitektur Oracle SPARC 2015, implementasinya adalah "72-640 register
64-bit tujuan umum g0, hanya 7 yang dapat digunakan sebagai register karena
diprogram ke nol), dan 24 sisanya berasal dari tumpukan register. 24 register ini
membentuk apa yang disebut jendela register, yang memindahkan register tumpukan
ke atas dan ke bawah ketika suatu fungsi dipanggil atau dikembalikan. 8 untuk setiap
jendela. Ini memiliki dua register lokal dan berbagi delapan register dengan setiap
jendela yang berdekatan. Ini mentransfer parameter fungsi dan mengembalikan nilai,
dan register lokal digunakan untuk mempertahankan nilai lokal selama pemanggilan
fungsi.

10
Kemampuan "skala" SPARC berasal dari fakta bahwa spesifikasi SPARC
memungkinkan implementasi diperluas dari prosesor tertanam ke prosesor server
besar menggunakan set instruksi inti yang sama (tidak memiliki hak istimewa). Salah
satu parameter arsitektur yang terukur adalah jumlah jendela register terdaftar yang
diimplementasikan. Spesifikasi ini memungkinkan Anda untuk mengimplementasikan
3 hingga 32 jendela, jadi implementasi harus mengimplementasikan semua 32 untuk
memaksimalkan efisiensi tumpukan panggilan, atau hanya 3 untuk mengurangi biaya
dan kerumitan desain. Anda dapat memilih untuk mengimplementasikannya atau
mengimplementasikan beberapa nomor di antaranya . Arsitektur lain yang
menyertakan file fungsi registri serupa termasuk Intel i960, IA64, dan AMD 29000.

Arsitektur telah direvisi beberapa kali. Dapatkan perangkat keras untuk mereproduksi
dan berbagi fungsionalitas di versi 8. [8] [9] 64-bit (pengalamatan dan data) telah
ditambahkan ke versi 9 dari spesifikasi SPARC yang diterbitkan pada tahun 1994.
[Sepuluh]

Dalam SPARC versi 8, file register floating point memiliki 16 register presisi ganda.
Masing-masing dapat digunakan sebagai dua register presisi tunggal, menghasilkan
total 32 register presisi tunggal. Sepasang register presisi ganda ganjil-genap dapat
digunakan sebagai register presisi 4 dan 8 register presisi 4x dapat digunakan. Dalam
SPARC versi 9, 16 register presisi ganda (juga dapat diakses sebagai 8 register presisi
empat kali lipat) telah ditambahkan, tetapi register tambahan ini tidak dapat diakses
sebagai register presisi tunggal. Pada tahun 2004, tidak ada CPU SPARC yang
mengimplementasikan operasi 4-presisi dalam perangkat keras.

Instruksi plus dan minus yang ditandai menambah dan mengurangi nilai, periksa
apakah 2 bit lebih rendah dari kedua operan adalah 0, dan laporkan overflow
sebaliknya. Ini berguna untuk implementasi file runtime ML, pelat, dan bahasa
serupa yang mungkin menggunakan format integer yang ditandai. Kegigihan

32-bit Arsitektur SPARC V8 adalah murni big endian. Arsitektur SPARC V9 64-bit
menggunakan instruksi bigendian, tetapi mengakses data dalam urutan byte
bigendian atau little endian yang dipilih oleh instruksi aplikasi (memuat memori) atau
memori tingkat halaman (melalui konfigurasi MMU).Saya dapat melakukannya.
Yang terakhir ini sering digunakan untuk mengakses data dari perangkat Little endian,
seperti perangkat pada bus PCI.

11
CPU UltraSPARC-II terdiri dari komponen berikut:
 CPU UltraSPARC™-II pada 400 MHz
 Penyangga Data UltraSPARC-II (UDB-II)
 E-cache 2.0 Megabyte, terdiri dari empat SRAM data (128K X 36) dan satu
SRAM Tag (128K X 36)
 Penyangga Jam: MC100LVE210
 Pengatur DC-DC (2.6V sampai 1.9V)
 Selubung Aliran Udara Modul

Arsitektur Ultra Sparc II :


 Thirty-two 64-bit integer registers
 Superscalar/Superpipelined
 High performance memory interconnect
 Built-in Multiprocessing Capability
 VIS multimedia accelerating instructions
 100% binary compatibility with previous versions of SPARC™
 Uses 0.25 micron technology and packaging

Performance

 Integer
 Floating Point
 Bandwidth (BW) ke memori utama
 17.2 (SPECint95)
 22.3 (SPECfp95)
 1,6 Gbyte/dtk (puncak) dengan UPA 100MHz

12
Fitur unik

 Blok memuat dan menyimpan instruksi


 Memberikan akses kinerja tinggi ke kumpulan data besar di seluruh jaringan
 Pemindaian Batas JTAG dan Instrumentasi Kinerja
 Mengaktifkan sistem berbasis UltraSPARC™ untuk menawarkan fitur seperti:
manajemen daya, koreksi kesalahan otomatis, dan biaya perawatan yang lebih
rendah

CPU Bnefits :

 Arsitektur SPARC-V9 64-bit meningkatkan kinerja aplikasi komputasi


jaringan
 Memungkinkan aplikasi untuk menyimpan data secara lokal dalam file
register
 Memungkinkan untuk beberapa unit eksekusi integer dan floating point yang
mengarah ke kinerja aplikasi yang lebih tinggi
 Mengurangi kemacetan bandwidth ke memori utama
 Memberikan skalabilitas di tingkat sistem, sehingga meningkatkan laba atas
investasi pengguna akhir
 Mengurangi biaya sistem dengan menghilangkan prosesor media tujuan
khusus
 Meningkatkan laba atas investasi aplikasi perangkat lunak
 Peningkatan kinerja prosesor dengan penurunan konsumsi daya, sehingga
meningkatkan keandalan mikroprosesor

13
BAB III
PENUTUP

A. Kesimpulan
 UltraSPARC-II merupakan salah satu processor yang diproduksi diawal abad
ke-20 untuk komputer workstation dan komputer server high end. Jenis
komputer tersebut umumnya digunakan untuk memenuhi kebutuhan
komputasi pada level perusahaan guna menjalankan aplikasi-aplikasi yang
berat, seperti aplikasi multi media, aplikasi grafis, aplikasi yang memerlukan
perhitungan yang rumit dengan tingkat presisi yang tinggi. Selain itu
kehandalan dalam kumputasi berbasis jaringan juga menjadi kebutuhan utama.
 Pengembangan hardware khsusnya processor sebagai otak dari sebuah
komputer menjadi kebutuhan yang tidak dapat dihindari untuk mengimbangi
perkembangan software/aplikasi yang sangat pesat dan semakin kompleks,
seiring dengan kebutuhan manusia untuk meringankan dan mempercepat
proses dalam suatu pekerjaan.

B. Saran
Beberapa materi yang terdapat dalam makalah ini mungkin tidak dibahas secara
mendetail, untuk itu disarankan kepada pembaca untuk mencari refresnsi lain yang
berhubungan dengan hal tersebut.

Sebagai penutup, semoga apa yang dipaparkan dalam makalah ini bisa menambah
wawasan bagi pembaca khususnya bagi pribadi penulis.

14
DAFTAR PUSTAKA

https://www.oracle.com/technetwork/server-storage/sun-sparc-enterprise/
documentation/sparc-usersmanual-2516676.pdf
http://citeseerx.ist.psu.edu/viewdoc/
download;jsessionid=92E9092B27AC5D9C12B91FEE040E30DB?
doi=10.1.1.39.4143&rep=rep1&type=pdf
http://datasheets.chipdb.org/Sun/UltraSparc-II-SME5222AUPA-400.pdf
http://citeseerx.ist.psu.edu/viewdoc/
download;jsessionid=92E9092B27AC5D9C12B91FEE040E30DB?
doi=10.1.1.39.4143&rep=rep1&type=pdf
http://datasheets.chipdb.org/Sun/UltraSparc-II.pdf
https://id.wikiqube.net/

15

Anda mungkin juga menyukai