Anda di halaman 1dari 14

MODUL 4

RANGKAIAN LOGIKA SEKUENSIAL


Abdurrahman (122130143)
Asisten : Muhammad Khoirul Annam (121130056)
Tanggal Percobaan : 22/11/2023
EL2104_D-3_ PRAKTIKUM SISTEM DIGITAL
Laboratorium Teknik Elektro
Institut Teknologi Sumatera

Abstrak— Dalam eksperimen sebelumnya, kami telah


menganalisis rangkaian yang didasarkan pada logika RANGKAIAN SEKUENSIAL
kombinasional. Sistem gerbang logika yang telah dibangun
sebenarnya tidak semuanya dapat diatasi dengan Sebuah rangkaian logika sekuensial didefinisikan sebagai
menggunakan logika kombinasional. eksperimen ini, kami jenis rangkaian logika yang tidak hanya bergantung pada
akan memeriksa salah satu logika rangkaian logika sekuensial input logika terbaru, tetapi juga memperhitungkan input-
tambahan. Untuk kali ini, rangkaian akan dimodelkan dengan input logika sebelumnya (4). Rangkaian logika sekuensial
Finite State Machine (FSM) dalam studi kasus Studi kasus ini dapat diklasifikasikan menjadi dua jenis, yaitu synchronous
akan dilaksanakan menggunakan FPGA dan modul untuk dan asynchronous. Salah satu penerapan dari rangkaian
driver VGA. logika sekuensial adalah untuk melakukan penghitungan
Kata Kunci— FSM, FPGA, VGA. (counting). [1]

COUNTER
I. PENDAHULUAN
Counter merupakan suatu rangkaian logika sekuensial
Dalam eksperimen sebelumnya, kami telah menganalisis yang memiliki kemampuan untuk menyimpan informasi
rangkaian yang didasarkan pada logika kombinasional. terkait waktu dari suatu keadaan, dan biasanya terhubung
Sistem gerbang logika yang telah dibangun sebenarnya tidak dengan sinyal waktu. Dengan kata lain, counter berperan
semuanya dapat diatasi dengan menggunakan logika sebagai serangkaian komponen yang dapat menghitung
kombinasional. eksperimen ini, kami akan memeriksa salah secara berurutan, misalnya, dimulai dari 0 dan bertambah satu
satu logika rangkaian logika sekuensial tambahan. Untuk kali per langkahnya. Dalam eksperimen ini, fungsi kerja dari
ini, rangkaian akan dimodelkan dengan Finite State Machine counter akan diemulasikan menggunakan clockdiv, yang
(FSM) dalam studi kasus Studi kasus ini akan dilaksanakan bertugas menghitung durasi waktu dalam satuan nanosekon.
menggunakan FPGA dan modul untuk driver VGA [1]
Percobaan ini akan menerapkan suatu contoh kasus dari
rangkaian berurutan, yakni simpul persimpangan jalan yang FINITE STATE MACHINE
dilengkapi dengan empat lampu lalu lintas. Dua pendekatan
implementasi yang berbeda akan diterapkan, yakni Finite state machine merupakan suatu representasi
menggunakan desain FSM dengan FPGA dan juga penerapan matematika yang digunakan untuk merancang rangkaian
dengan menggunakan modul pengendali VGA. logika sekuensial dan juga dalam pengembangan program
Adapun tujuan dari percobaan modul ini ialah: komputer.
1. Mendesain sekuensial rangkaian untuk
implementasi didalam FPGA.
2. Mengenal dan memahami cara menggunakan
hierarki dalam desain rangkaian
3. Mengenal dan memahami cara menggunakan FPGA
sebagai prototype system untuk memverifikasi
fungsi rangkaian.

II. LANDASAN TEORI Gambar 1. Pemodelan Finite State Machine

Rangkaian gerbang logika terdiri dari komponen seperti Gambar (Gambar 1) menampilkan representasi dari sebuah
rangkaian logika kombinasional dan rangkaian logika finite state machine. Ketika S1 menerima input berupa logika
sekuensial; counter, yang digunakan dalam studi kasus ini, 1, itu akan mengaktifkan fungsi S1. Sebaliknya, jika S1
adalah salah satu contoh penggunaan rangkaian sekuensial. menerima input logika 0, maka fungsi S2 akan dijalankan.
FSM adalah salah satu pemodelan yang dapat digunakan Sama halnya, ketika S2 menerima input logika 1, fungsi S2
untuk merepresentasikan logika sekuensial; kita juga akan
mempelajari implementasinya dengan modul driver VGA.
1
akan diaktifkan, tetapi jika menerima logika 0, fungsi S1 akan
dijalankan. [2]

MODUL VGA DRIVER


PERCOBAAN 4B: IMPLEMENTASI MODUL VGA
Driver VGA yang digunakan saat ini adalah sebuah modul DRIVER
yang memetakan sinyal logika dari FPGA ke tampilan
berwarna pada layar monitor LCD. Modul ini menerima input
dari port yang diinginkan dan mengubahnya menjadi warna
yang ditampilkan di layar. [3] Buatlah folder sebagai direktori kerja baru

III. METODOLOGI

Alat dan Bahan Implementasikan desain di atas pada FPGA dengan


pin planner
• Komputer/PC yang telah terinstal program Quartus
II 9.0
• Monitor LCD
• FPGA development board, tipe ALTERA DE1 Lakukan beberapa kali perubahan posisi switch
beserta perlengkapannya yang meliputi: pada board FPGA
a. Board FPGA tipe DE1
b. Catu daya+ kabel dan konektor tambahan
c. Kabel USB-Blaster
jangan lupa untuk menghubungkan port VGA FPGA
board dengan VGA LCD

Langkah Kerja

menggunakan kabel VGA DB15.


PERCOBAAN 4A: IMPLEMENTASI DESAIN FSM
PADA FPGA
IV. HASIL DAN ANALISIS

PERCOBAAN 4A: IMPLEMENTASI DESAIN FSM


PADA FPGA
Buatlah folder sebagai direktori kerja baru
untuk praktikum kali ini kemudian copy script
desain FSM

Jalankan program ALTERA QUARTUS®

Implementasikan desain FSM tersebut dengan


keluaran pada LED FPGA
Gambar 4.1. Keluaran Clockdiv

2
PERCOBAAN 4B: IMPLEMENTASI MODUL VGA
DRIVER

Gambar 4.2 keluaran FPGA

Gambar 4.5 switch 1 nyala

Gambar 4.3 keluaran FPGA

Gambar 4.6 switch 2 nyala

Gambar 4.4 keluaran FPGA


Analisis:
Pada ilustrasi 4-1 di atas, terlihat bahwa dengan mengatur
nilai constant div sebesar 24, satu siklus lengkap terjadi setiap
48. Oleh karena itu, untuk mencapai perubahan per detik (1
detik), diperlukan penyesuaian constant div sekitar
25.000.000, yang setara dengan setengah dari frekuensi
Gambar 4.7 switch 3 nyala
FPGA DE-1 yang digunakan. Penetapan nilai konstanta ini
sendiri bergantung pada skrip yang sedang digunakan.

3
Gambar 4.8 switch 4 nyala Gambar 4.11 semua switch nyala

Analisis:

Pada percobaan ini kita menggunakan LCD monitor untuk


disambungkan FPGA, pada percobaan ini ketika switch 1
ditekan maka hanya lampu 1 yang nyala. Jadi setiap switch
memiliki 1 tugas untuk menyalakan 1 lampu. Ketika semua
switch dinyalakan maka semua lampu hidup.

V. SIMPULAN

1. Rangkaian logika sekuensial merujuk pada suatu


sistem logika yang bergantung pada keadaan
memori, sebagaimana diilustrasikan dalam studi
kasus di atas. Dalam konteks ini, counter yang
diterapkan berperan sebagai alat pengukur jeda
waktu, khususnya untuk mengatur durasi jeda lampu
Gambar 4.9 switch 5 nyala lalu lintas dalam satuan detik.
2. Dalam menerapkan percobaan ini pada rangkaian
logika sekuensial, kami mengadopsi dua pendekatan
implementasi. Pertama, kami secara langsung
mengimplementasikan Finite State Machine (FSM)
ke dalam FPGA DE-1 board. Alternatif kedua, kami
menggambarkan hasil keluaran ke layar LCD
menggunakan modul pengemudi VGA.

Bibliography

[1] B. H. a. C. Woods, "Digital Logic Design Fourth


Edition,," N.wnes, 2002.
[2] R. Katz, "Contemporary Logic Design Second Edition,"
Pearson Prentince-Hall. NJ, 2005.
[3] S. B. a. Z. Vranesic, "Fundamentals of Digital Logic
with VHDL Design Third Edition," McGraw-Hill, 2009.
Gambar 4.10 switch 6 nyala

4
LAMPIRAN

5
6
7
8
9
10
11
12
13
14

Anda mungkin juga menyukai