Anda di halaman 1dari 6

MODUL 4 RANGKAIAN LOGIKA SEKUENSIAL

Patrick Ryan Wijaya (13217027)


Asisten: Frits Elwildo /13215001
Tanggal Percobaan: 09/11/2018
EL2102-Praktikum Sistem Digital
Laboratorium Dasar Teknik Elektro - Sekolah Teknik Elektro dan Informatika ITB

Abstrak durasi pada 2 waktu berbeda, yaitu siang dan


malam, dan mode darurat yang sudah ditentukan
Modul 4 dari praktikum sistem digital ini membahas
untuk lampu lalu lintas tersebut.
mengenai rangkaian logika sekuensial, terutama mengenai
implementasi rangkaian logika sekuensial berupa finite state Percobaan kedua dari modul ini merupakan
machine (FSM). Pada sebuah rangkaian logika percobaan menggunakan VGA Driver
kombinasional, terdapat beberapa state, sehingga output dari menggunakan FPGA, yaitu switch pada FPGA
rangkaian bergantung pada state yang telah ditentukan pada untuk mengendalikan lampu lalu lintas yang akan
rangkaian tersebut. Pada percobaan ini, dilakukan dihasilkan dari display VGA FPGA tersebut. Pada
percobaan untuk mengamati implementasi FSM pada sistem percobaan ini, tujuan utama adalah untuk
lampu merah yang dijalankan pada FPGA, yang lalu akan memverifikasi kode yang digunakan, serta untuk
diimplementasikan lebih lanjut pada display LCD. memahami dasar dalam implementasi pada VGA
Driver.
Kata kunci: Rangkaian Logika Sekuensial, Finite
State Machine, FPGA Percobaan ketiga dari modul ini merupakan
percobaan yang menggabungkan aspek dari
1. PENDAHULUAN percobaan pertama dan kedua, yaitu
Rangkaian logika sekuensial adalah jenis menghasilkan output dari display VGA FPGA,
rangkaian logika yang menggunakan memori atau namun dengan state dan kondisi yang sesuai dari
berbagai state dalam sistem operasinya. percobaan pertama. Oleh karena itu, hasil dari
Rangkaian ini berbeda dengan rangkaian logika percobaan ini harus memenuhi berbagai aspek
kombinasional, dimana rangkaian kombinasional yang diuji dari percobaan pertama, yaitu durasi
tidak menggunakan memori dalam menghasilkan dan ketepatan warna lampu yang menyala.
output, namun menghasilkan output secara
langsung dari input yang diberikan pada saat itu.
2. STUDI PUSTAKA
Pada rangkaian logika sekuensial, state akan Berikut adalah definisi mengenai teori, istilah dan
ditentukan lebih dahulu, sehingga output yang komponen yang terdapat pada modul 4
dihasilkan dengan kondisi dan ketentuan yang praktikum ini.
sudah ditentukan.
2.1 FPGA
Tujuan dari percobaan pada modul ini adalah
FPGA adalah sirkuit terpadu (IC) yang dapat
untuk memahami cara kerja rangkaian logika
dikonfigurasi di lapangan setelah pembuatan.
kombinasional, dengan mendesain rangkaian
FPGA pada dasarnya mirip dengan, tetapi
sekuensial ini pada sebuah studi kasus, yaitu
memiliki aplikasi potensial yang jauh lebih luas
desain lampu lalu lintas. Model yang digunakan
daripada Read-Only Memory (PROM), yang dapat
pada modul ini adalah FSM atau finite state
diprogram. FPGA digunakan oleh insinyur dalam
machine, yaitu sebuah rangkaian dengan jumlah
desain IC khusus yang nantinya dapat diproduksi
state yang tidak terbatas. Pada modul ini,
terprogram dalam jumlah besar untuk
digunakan FPGA sebagai platform untuk menguji
didistribusikan ke pabrikan komputer dan
coba rangkaian yang telah didesain, serta modul
pengguna akhir. Pada akhirnya, FPGA
VGA driver untuk verifikasi desain rangkaian
memungkinkan pengguna komputer untuk
lebih lanjut.
menyesuaikan mikroprosesor untuk memenuhi
Pada percobaan pertama dari modul ini, kebutuhan masing-masing. [1]
dilakukan pengujian terhadap rangkaian yang
telah dibuat sebelumnya, untuk menguji apakah
output sudah sesuai dengan state yang ditentukan,
yaitu lampu lalu lintas Utara-Selatan dan Barat-
Timur yang menyala secara bergantian, dengan
durasi masing-masing. Terdapat juga perbedaan
Laporan Praktikum - Laboratorium Dasar Teknik Elektro – STEI ITB 1
Gambar 2-2 Prinsip Dasar Rangkaian Logika Sekuensial

3. METODOLOGI
Alat dan bahan yang digunakan dalam modul 4
praktikum ini adalah:
• Komputer yang telah terinstal program
Quartus II dan Modelsim
Gambar 2-1 Altera DE1 Board dengan FPGA Cyclone II • Development Board Altera DE1 dengan
EP2C20F484C7 kabel USB Blaster

FPGA biasa digunakan dalam Development board • Monitor LCD


yang digunakan dalam pendidikan dan Berikut adalah langkah-langkah untuk setiap
perancangan rangkaian digital. Board yang percobaan dalam modul ini.
digunakan pada modul ini adalah board Altera
DE1. 3.1 PERCOBAAN IMPLEMENTASI DESAIN
FSM PADA FPGA
2.2 RANGKAIAN LOGIKA SEKUENSIAL
Pada percobaan ini, digunakan kode VHDL yang
Rangkaian logika sekuensial adalah rangkaian telah dibuat sebelumnya, yang diimplementasikan
logika yang output yang tidak hanya tergantung pada LED dan switch pada development board.
pada kondisi saat ini tetapi juga keadaan input
sebelumnya. Dalam kata lain, rangkaian logika
Jalankan program Quartus II 9.0 Sp2. Buatlah
sekuensial mengandung elemen memori untuk sebuah project baru dengan spesfikasi yang
menghasilkan output. Setiap saat, sirkuit sesuai untuk board Altera DE1 (FPGA Jenis
kombinasional dalam rangkaian sekuensial cyclone II). Buka file VHDL yang telah dibuat
mengambil input ini dan mengambil kembali state dan letakkan file pada folder project tersebut.
input dari elemen memori untuk melakukan
komputasi. Setelah selesai dengan komputasi,
sebelum komputasi berikutnya terjadi bagian
kombinasional dari rangkaian sekuensial
menyimpan state input yang diterima saat ini ke
elemen memori. Dapat dikatakan bahwa elemen
lakukan Kompilasi dan pastikan tidak ada
memori dalam rangkaian sekuensial berfungsi error. Lakukan simulasi, pertama dengan
sebagai elemen umpan balik. Dengan elemen mode fungsional, amati waveform hasilnya.
memori yang dibuang, sirkuit sekuensial mampu
menyimpan, mempertahankan dan mengambil
kembali kondisi input sebelumnya atau
mengatakan kondisi input dalam waktu instan
sebelumnya. Ada dua jenis sirkuit sekuensial,
yaitu:
Lakukan pemberian peran pada pin dari FPGA
• Rangkaian Sekuensial Sinkron sehingga dapat diimplementasikan pada
• Rangkaian Sekuensial Asinkron FPGA. Lakukan kompilasi dan implementasi
pada FPGA. Amati apakah sudah sesuai
Rangkaian sekuensial sinkron beroperasi dengan dengan ketentuan yang diberikan.
elemen periode clock, sedangkan rangkaian
sekuensial asinkron bekerja dengan elemen urutan
Gambar 3-1 Diagram Percobaan Implementasi Desain
state operasi. [2] FSM Pada FPGA
Laporan Praktikum - Laboratorium Dasar Teknik Elektro – STEI ITB 2
3.2 PERCOBAAN IMPLEMENTASI MODUL 4. HASIL DAN ANALISIS
VGA DRIVER
4.1 HASIL PERCOBAAN IMPLEMENTASI
Percobaan ini bertujuan untuk memverifikasi kode
DESAIN FSM PADA FPGA
yang digunakan, dengan menguji coba input
untuk menghasilkan output monitor LCD. Pada percobaan ini, digunakan kode yang telah
dibuat sebelumnya, dengan letak pin dan hasil
sebagai berikut:
Jalankan program Quartus II 9.0
Sp2. Lakukan implementasi pada
file yang diberikan sebelumnya,
dengan pin planner yang telah
ditentukan pada file qsf.

lakukan Kompilasi dan


implementasi pada FPGA.
Sambungkan kabel VGA ke Gambar 4-1 Letak Pin Pada FPGA
monitor LCD. Amati perubahan
pada display monitor LCD saat Stat Hasil LED FPGA Lama Lama
menggunakan switch pada FPGA. e Menyal Menyal
a a Ideal
Gambar 3-2 Diagram Percobaan Implementasi Modul (s)
VGA Driver
(s)

3.3 PERCOBAAN IMPLEMENTASI FSM


PADA VGA DRIVER
S1 8,04 8
Percobaan ini menggunakan program FSM yang
digunakan pada percobaan pertama dan
mengimplementasikan pada VGA driver dari
percobaan kedua untuk memverifikasi hasil
desain program VHDL FSM yang dibuat..

Huungkan ouput dari file VHDL FSM


dengan input modul VGA. Lakukan
ini dengan memanggil dan S2 1,97 2
menjadikan file FSM dan modul VGA
Driver menjadi satu project.

lakukan Kompilasi dan implementasi S3 8,03 8


pada FPGA. Sambungkan kabel VGA
ke monitor LCD. Amati perubahan
pada display monitor LCD saat
menggunakan switch pada FPGA,
terutama pada kondisi siang, malam
dan darurat.

Gambar 3-3 Diagram Percobaan Implementasi FSM Pada


VGA Driver
S4 2,00 2

Laporan Praktikum - Laboratorium Dasar Teknik Elektro – STEI ITB 3


menyala. Mode waktu siang dikontrol oleh switch
ketiga dari sisi kanan atau SW[2]. State S5-S8
merupakan state pada waktu malam hari, dengan
waktu menyala state 4 detik bagi lampu merah
dan hijau menyala dan 1 detik bagi lampu merah
dan kuning menyala. Mode waktu malam
dikontrol oleh switch kedua dari sisi kanan atau
SW[1]. State S9 merupakan mode darurat dengan
S5 4,05 4
kedua lampu kuning berkedip selama 4 detik.
Mode darurat dikontrol dengan switch pertama
dari sisi kanan atau SW[0]. Dari gambar hasil
pengamatan dan pengukuran waktu, hasil
percobaan ini sesuai dengan ekspektasi.

4.2 HASIL IMPLEMENTASI MODUL VGA


DRIVER
Posisi Switch Display Layar

S6 1,1 1

S7 4,1 4

S8 1,04 1

S9 2,0 4 ( 2 detik
menyala menyala
dan mati dan 2
detik
mati)

Tabel 4-1 Hasil Simulasi Implementasi pada FPGA


Dari hasil implementasi pada FPGA yang didapat,
dapat diamati bahwa lampu menyala pada state
berbeda dengan waktu berbeda. Pada state S1-S4,
merupakan state pada waktu siang dengan waktu
8 detik untuk lampu hijau dan merah menyala
dan 2 detik untuk lampu kuning dan merah
Laporan Praktikum - Laboratorium Dasar Teknik Elektro – STEI ITB 4
S4 2,00 2

S5 4,05 4

Tabel 4-2 Hasil Implementasi Modul VGA Driver

Pada percobaan ini, hasil dari display LCD sudah


sesuai ekspektasi, dengan masing-masing switch
S6 1,1 1
berfungsi untuk menyalakan 1 warna pada lampu
merah yang terdapat pada display LCD.
Pemberian peran pada pin juga sudah sesuai
dengan kode VHDL yang dibuat

4.3 HASIL PERCOBAAN IMPLEMENTASI


FSM PADA VGA DRIVER
S7 4,1 4
Percobaan ini menggabungkan aspek-aspek dari
dua percobaan sebelumnya, dengan
menggunakan FSM yang dibuat dengan VHDL
dengan modul VGA Driver dari percobaan
sebelumnya.

Stat Hasil LCD Lama Lama S8 1,04 1


e Menyal Menyal
a a Ideal
(s)
(s)

S1 8,04 8 S9 2,0 4 ( 2 detik


menyala menyala
dan mati dan 2
detik
mati)

Tabel 4-3 Hasil Simulasi di Software Modelsim


S2 1,97 2
Hasil percobaan ini sudah sesuai dengan
ekspektasi dan akurat. Dapat diamati bahwa
display LCD akan berubah sesuai dengan state
yang sedangkan dijalankan. State pada percobaan
ini sama dengan state pada percobaan pertama,
dengan S1-S4 merupakan state waktu siang, S5-S8
S3 8,03 8 adalah state waktu malam, dan state S9
merupakan state darurat. Switch yang mengontrol
waktu pun juga sama. Durasi perubahan display
pada LCD pun juga identik dengan hasil
percobaan pertama.

Laporan Praktikum - Laboratorium Dasar Teknik Elektro – STEI ITB 5


5. KESIMPULAN
Sebagai kesimpulan, modul 4 dari praktikum ini
bertujuan untuk memahami rangkaian logika
sekuensial, melalui implementasi pada sebuah
studi kasus lampu lalu lintas, dengan
menggunakan FPGA dan modul VGA Driver.
Percobaan pertama pada modul ini merupakan
percobaan implementasi program FSM yang telah
dibuat kepada FPGA, dengan hasil dapat
ditemukan pada bagian Hasil & Analisis. Hasil
dari percobaan pertama sudah sesuai dengan
ekspektasi, yaitu hasil implementasi pada setiap
state sudah memenuhi syarat dari state tersebut.
Percobaan kedua pada modul ini merupakan
implementasi modul VGA driver, dengan hasil
dapat ditemukan pada bagian Hasil & Analisis.
Hasil dari percobaan kedua sudah sesuai dengan
ekspektasi, dengan terjadinya perubahan tampilan
pada LCD oleh switch yang ditekan ke atas.
Percobaan ketiga dari modul ini merupakan
implementasi program FSM terhadap modul VGA
Driver, dengan hasil dapat ditemukan pada
bagian Hasil & Analisis. Hasil dari percobaan
ketiga sudah sesuai dengan ekspektasi, yaitu hasil
implementasi pada setiap state sudah memenuhi
syarat dari state yang ditentukan, yang
ditampilkan pada layer LCD.

DAFTAR PUSTAKA
[1] https://whatis.techtarget.com/definition/fiel
d-programmable-gate-array-FPGA, 2
September 2018, 13.17
[2] https://www.engineersgarage.com/Articles/
Digital-Electronics-Sequential-Logic-Circuits-
Latches, 9 November 2018, 22.27

Laporan Praktikum - Laboratorium Dasar Teknik Elektro – STEI ITB 6

Anda mungkin juga menyukai