Anda di halaman 1dari 7

Berkas Soal Praktikum Mekatronika

2
Sistem Digital

Tim Asisten PM 2
Genap 2021/2022

Program Studi Teknik Elektro konsentrasi Mekatronika


Fakultas Teknologi Industri
Universitas Katolik Parahyangan, Bandung
2022
Daftar Isi
1 Dekoder dan Enkoder 3
1.1 Tes Awal . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3
1.2 Soal Praktikum . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3

2 Informasi umum 4

1
Panduan Pengerjaan Tugas dan Pasca Praktikum
Dalam praktikum sistem digital, ada beberapa hal yang harus diperhatikan dalam penger-
jaan soal praktikum dan laporan praktikum:

1. Berkas jawaban yang harus dikumpulkan pada praktikum sistem digital:

• Berkas Proteus dari masing-masing rangkaian (.pdsprj/.pdsbak/.workspace).


• Berkas video simulasi rangkaian untuk seluruh input dan output dari rangkaian
• Screenshot dari tabel kebenaran/fungsi dari masing-masing rangkaian.
• Seluruh berkas praktikum digabung dalam sebuah berkas dalam bentuk .rar/.zip
sebelum dikumpulkan.

Catatan: Asisten tidak bertanggung jawab jika terdapat file yang tidak dapat
dibuka, oleh karena itu pastikan berkas dapat dibuka sebelum mengumpulkan
berkas.

2. Penamaan file praktikum adalah sebagai berikut:

• Berkas Proteus (.pdsprj/.pdsbak/.workspace):


PM2 SisDig Modulx NPM Nama NoSoal.pdsprj
• Berkas video simulasi rangkaian:
PM2 SisDig Modulx NPM Nama NoSoal.mp4
• Berkas screenshot dari tabel kebenaran/fungsi:
PM2 SisDig Modulx NPM Nama NoSoal.png
• Berkas .zip/.rar:
PM2 SisDig Modulx NPM Nama TugasPrak.rar
• Berkas Laporan:
PM2 SisDig Modulx NPM Nama Laporan.pdf

x = Nomor modul (1,2,3,4)

3. Untuk laporan praktikum, rangkaian harus dibuat dengan menggunakan package


Circuitikz, Sementara tabel harus dibuat dengan menggunakan command Tabular
pada latex. Akan ada pengurangan 2 poin untuk setiap rangkaian atau tabel yang
tidak mengikuti aturan tersebut.

4. Jika praktikan tidak dapat merekam video simulasi, praktikan diperkenankan untuk
mengumpulkan file pdf yang berisi screenshot dari setiap kombinasi input. Screen-
shot harus dipasang secara berurutan dimulai dari input terkecil hingga terbesar.
File pdf dikumpulkan dengan format nama:
PM2 SisDig Modulx NPM Nama Simulasi.pdf

2
1 Dekoder dan Enkoder
Pada modul ini akan dijelaskan mengenai alat dekoder dan enkoder. Modul ini dibagi
menjadi 3 bagian yang terdiri dari penjelasan mengenai dekoder, enkoder, dan 7-segment.
Pada akhir praktikum, praktikan diharapkan mampu mengaplikasikan dekoder, enkoder,
dan 7-segment kedalam rangkaian gerbang logika.

1.1 Tes Awal


1. Sebutkan 3 aplikasi penggunaan dekoder. (20)
2. Buatlah tabel fungsi dari dekoder 3-to-6. (30)
3. Gambar bagian 7-segment yang menyala dan buatlah tabel fungsi dari angka genap
(2,4,6,8). (50)

1.2 Soal Praktikum


1. Buatlah rangkaian logika dari sebuah dekoder 3-to-23 dengan input A, B, dan C
Jika diketahui persamaan booleannya sebagai berikut:
m0 = A.B.C
m1 = A.B.C
m2 = A.B.C
m3 = A.B.C
m4 = A.B.C
m5 = A.B.C
m6 = A.B.C
m7 = A.B.C
Simulasikan rangkaian dan buatlah tabel fungsi berdasarkan rangkaian yang sudah
disimulasikan. Pada laporan, bandingkan tabel fungsi yang sudah didapat dengan
tabel fungsi dekoder 3-to-23 dalam teori.
2. Buatlah rangkaian logika dari sebuah enkoder 4-to-3 dengan Input A, B, C, dan D
mutually exclusive jika diketahui K-mapnya sebagai berikut:
A1 A.B A.B A.B A.B
C.D 0 1 0 0
C.D 1 0 0 0
C.D 0 0 0 0
C.D 0 0 0 0

A2 A.B A.B A.B A.B


C.D 0 1 0 0
C.D 0 0 0 0
C.D 0 0 0 0
C.D 1 0 0 0
3
A3 A.B A.B A.B A.B
C.D 0 0 0 1
C.D 0 0 0 0
C.D 0 0 0 0
C.D 0 0 0 0

Simulasikan rangkaian dan buatlah tabel fungsi berdasarkan rangkaian yang sudah
disimulasikan. Pada laporan, bandingkan tabel fungsi yang sudah didapat dengan
tabel fungsi enkoder 4-to-3 mutually exclusive dalam teori.

3. Buatlah serangkaian 7-segment yang menunjukan nomor NPM praktikan. 7-segment


dinyalakan masing-masing secara manual. Setelah itu buatlah tabel fungsi untuk
setiap angka yang muncul pada 7-segment.

4. Gunakan dekoder BCD 4511 pada proteus untuk mengendalikan output dari 7-
segment. dimana A,B,C dan D adalah input BCD dari dekoder. QA hingga QG
adalah pin yang akan dihubungkan dengan 7-segment. LT dan BI disambungkan
ke sumber tegangan 5V dan LE/ST B dihubungkan ke ground. Simulasikan dan
catat tabel fungsi dari dekoder tersebut. Jelaskan dibawah tabel mengapa setelah
melebihi angka 9, tidak ada bagian yang menyala pada 7-segment.

2 Informasi umum
Berikut adalah informasi mengenai format umum yang harus diikuti:

1. Perintah untuk menempel gambar, contoh:

Gambar 1: Contoh logo UNPAR.

berikan caption yang sesuai dengan gambar yang ditempel, yang ditempatkan sete-
lah gambar. Kemudian beri label dengan format fig:namalabel. Kemudian untuk
menunjuk gambar, gunakan perintah \ref{label}.

4
2. Perintah untuk menempel tabel. Sederhananya, untuk membuat tabel dapat meng-
gunakan latex table generator (silahkan cari di browser masing-masing). Kode
akan secara otomatis di-generate dan tinggal di copy paste saja. Contoh:

Tabel 1: Symbol pada Sketch Tools dan Kegunaanya..


Sketch Tools Penjelasan Fungsi

Caption pada tabel selalu diletakkan sebelum tabel dan jangan lupa untuk menam-
bahkan \label{tab:namalabel} sebelum \end{table}.

3. Penulisan kode python.


Kode python ditulis dengan perintah \lstlisting, contoh:
1 a = i n p u t ( ’ Masukkan nama anda : ’ )
2 print (a)
3

Program 1: Contoh

4. Membuat Flowchart
Flowchart dibuat bukan dari gambar yang ditempel ke dalam file, namun menggu-
nakan \tikz. Contoh:

Start

Y
Decision 1 Process 1

N
Process 2

Stop

Gambar 2: Flowchart Contoh

5. Membuat gerbang logika


Membuat gerbang logika, tidak dengan menempelkan gambar, namun menggunakan
\begin{circuitikz}

5
A
Out
B

Gambar 3: Caption

6. Untuk mengutip referensi dapat dilakukan dengan menggunakan perintah \cite{}.


Contoh: Menurut [1], ...

References
[1] James Smith. Article title. journal, 14(6):1–8, March 2013.

Anda mungkin juga menyukai