Anda di halaman 1dari 31

“MODUL GERBANG LOGIKA”

Disusun Oleh :

Nama : FERRI HERMAWAN


NPM : 2055201130
Prodi : Teknik Informatika

UNIVERSITAS MUHAMMADIYAH BENGKULU


2022/2023
DAFTAR ISI
Pengertian Gerbang Logika..............................................................................................................3
Gerbang AND (AND GATE)..............................................................................................................5
Transistor Gerbang AND 2 Input..................................................................................................6
Jenis Gerbang Logika AND............................................................................................................6
Gerbang AND Multi Input..............................................................................................................8
Gerbang OR (OR GATE).................................................................................................................10
Transistor Gerbang OR 2 Input...................................................................................................11
Jenis Gerbang Logika OR.............................................................................................................11
Gerbang NOT (NOT GATE)............................................................................................................14
Transistor Gerbang NOT..............................................................................................................15
Gerbang NAND (NAND GATE)......................................................................................................18
Transistor Gerbang NAND...........................................................................................................19
Jenis Gerbang Logika NAND.......................................................................................................19
Gerbang NAND "Universal"........................................................................................................21
Gerbang NOR (NOR GATE)............................................................................................................22
Transistor Gerbang NOR.............................................................................................................23
Jenis Gerbang Logika NOR..........................................................................................................25
Gerbang NOR "Universal"...........................................................................................................26
Gerbang X-OR (X-OR GATE).........................................................................................................28
Gerbang X-NOR (X-NOR GATE)...................................................................................................29
Penerapan Gerbang Logika..............................................................................................................30
DAFTAR PUSTAKA........................................................................................................................31
Pengertian Gerbang Logika
Gerbang Logika (Logic Gate) memiliki fungsi yaitu melakukan pemrosesan dasar yang

berguna dalam memproses input-input berupa bilangan biner. Dengan kata lain gerbang logika

beroperasi atau bekerja berdasarkan sistem bilangan biner, secara singkat sistem bilangan biner dapat

diartikan sebagai jenis bilangan yang terdiri dari 2 kode angka yaitu "0" dan "1". Fungsi dan Cara

Kerja Gerbang Logika Secara sederhana, fungsi dari gerbang logika adalah mengubah satu atau

beberapa sinyal input (masukan) menjadi sebuah sinyal output (keluaran). Lalu bagaimana gerbang

logika dapat mengubah sinyal input menjadi sinyal output logis?

Untuk mengetahui hal tersebut, Anda wajib mengetahui jenis-jenis gerbang logika dasar. Terdapat

sekitar 7 gerbang logika dasar yang berguna dalam membentuk sebuah rangkaian sistem elektronika

digital.

1. Gerbang Logika NOT

2. Gerbang Logika AND

3. Gerbang Logika OR

4. Gerbang Logika NAND

5. Gerbang Logika NOR

6. Gerbang Logika X-OR

7. Gerbang Logika X-NOR


Dari setiap jenis gerbang logika dasar diatas memiliki tabel kebenaran yang berbeda, dimana fungsi

dari tabel kebenaran gerbang logika yaitu sebagai acuan dalam penentuan sinyal output dari sebuah

rangkaian gerbang logika. Dengan kata lain, untuk mengetahui bagaimana sebuah gerbang logika

bekerja maka diharuskan untuk mengetahui tabel kebenaran dari setiap gerbang logika.

Tabel kebenaran gerbang logika tersebut berisikan kombinasi variabel input (masukan) sehingga akan

menghasilkan variabel output (keluaran) logis.

Adapun variabel input dan output pada gerbang logika hanya memiliki 2 keadaan. 2 keadaan (level)

ini dapat dilambangkan sebagai berikut

• 1 dan 0

• HIGH (Tinggi) dan LOW (Rendah)

• TRUE (Benar) dan False (Salah)

• ON (Hidup) dan Off (Mati)


Salah satu contoh sederhana dalam penerapan gerbang logika dalam rangkaian elektronika adalah

dengan menggunakan Transistor TTL, bila keadaan 0 V pada rangkaian diasumsikan sebagai "LOW"

maka keadaan 5 V pada rangkaian diasumsikan sebagai "HIGH"

7 Jenis Gerbang Logika

Berikut ini kami sajikan 7 jenis gerbang logika dasar yang paling umum dipergunakan, disertai pula

dengan simbol dan tabel kebenarannya.

Gerbang AND (AND GATE)

Gerbang AND atau disebut juga "AND GATE" adalah jenis gerbang logika yang memiliki dua

input (Masukan) dan satu output (keluaran).

Pada gerbang logika AND, simbol yang menandakan operasi gerbang logika AND adalah tanda titik

(.) atau bisa juga dengan tanpa tanda titik, contohnya seperti Z = X.Y atau Z = XY. Perhatikan tabel

kebenaran gerbang AND. Cara cepat untuk mengingat tabelnya adalah dengan mengingat pernyataan

berikut. "Gerbang AND akan menghasilkan output (keluaran) logika 1 bila semua variabel input
(masukan) bernilai logika 1" sebalikanya "Gerbang AND akan menghasilkan keluaran logika 0 bila

salah satu masukannya merupakan logika 0". Cukup mudah bukan.

Transistor Gerbang AND 2 Input

Secara sederhana, gerbang AND 2 input dapat dibangun menggunakan RTL Resistor-Transistor

Switch yang terhubung bersama dengan input yang terhubung langsung ke basis transistor. Adapun

kedua transistor harus berada pada kondisi jenuh "ON" untuk keluaran Q.

Jenis Gerbang Logika AND

Adapun gerbang logika AND terdiri dari gerbang logika AND 2 input dan 3 input.
Berdasarkan ekspresi Boolean untuk fungsi logika AND didefinisikan sebagai (.) yang mana

merupakan operasi bilangan biner, sehingga gerbang AND dapat diturunkan secara bersama-sama

untuk membentuk sejumlah input. Tetapi mengingat bahwa IC gerbang AND yang tersedia dipasaran

hanya terdiri dari input 2, 3, atau 4. maka diperlukan input tambahan , sehingga gerbang AND standar

perlu diturunkan bersama sehingga mendapatkan nilai input yang diperlukan


Gerbang AND Multi Input

Berdasarkan Gerbang AND 6 input diatas maka ekspresi Boolean yaitu :

Q = (A.B).(C.D).(E.F)

Atau

A AND B AND C AND D AND E AND F gives Q

Jika jumlah input yang diinginkan adalah jumlah input bernilai ganjil, maka input yang “tidak

terpakai” dapat dijadikan HIGH dengan menyambungkan langsung ke catu daya (Power) dengan

menggunakan resistor “Pull-up” yang sesuai.


Berikut ini IC Gerbang AND yang umumnya tersedia di pasaran

TTL Logic AND Gate

74LS08 Quad 2-input

74LS11 Triple 3-input

74LS21 Dual 4-input

CMOS Logic AND Gate

CD4081 Quad 2-input

CD4073 Triple 3-input

CD4082 Dual 4-input


Gerbang OR (OR GATE)

Gerbang OR atau disebut juga "OR GATE" adalah jenis gerbang logika yang memiliki dua input

(Masukan) dan satu output (keluaran). Meskipun memiliki pengertian yang sama dengan gerbang OR

tapi memiliki perbedaan pada simbol dan tabel kebenaran.

Pada gerbang logika OR, simbol yang menandakan operasi gerbang logika OR adalah tanda tambah

(+)

, contohnya seperti Z = X + Y .

Perhatikan tabel kebenaran gerbang OR. Cara cepat untuk mengingat tabelnya adalah dengan

mengingat pernyataan berikut. "Gerbang OR akan menghasilkan output (keluaran) logika 0 bila

semua variabel input (masukan) bernilai logika 0" sebalikanya "Gerbang OR akan menghasilkan

keluaran logika 1 bila salah satu masukannya bernilai logika 1". Jangan sampai terbalik dengan

pernyataan Gerbang AND.


Transistor Gerbang OR 2 Input

Secara sederhana, gerbang OR 2 input dapat dibangun menggunakan RTL Resistor-Transistor Switch

yang terhubung bersama dengan input yang terhubung langsung ke basis transistor. Adapun kedua

transistor harus berada pada kondisi jenuh "ON" untuk keluaran Q.

Jenis Gerbang Logika OR


Adapun gerbang logika OR terdiri dari gerbang logika OR 2 input dan 3 input.
Seperti halnya gerbang AND, fungsi OR dapat memiliki beberapa input. Sedangkan gerbang OR yang

tersedia dipasaran hanya terdiri dari input 2, 3, atau 4 input. maka diperlukan input tambahan,

sehingga gerbang OR standar perlu diturunkan bersama sehingga mendapatkan nilai input yang

diperlukan

Berdasarkan Gerbang AND 6 input diatas maka ekspresi Boolean yaitu :

Q = (A+B)+(C+D)+(E+F)

atau

A OR B OR C OR D OR E OR F gives Q

Jika jumlah input yang diinginkan adalah jumlah input bernilai ganjil, maka input yang “tidak

terpakai” dapat dijadikan LOW dengan menyambungkan langsung ke ground dengan menggunakan

resistor “Pull-down” yang sesuai.

Berikut ini IC Gerbang OR yang umumnya tersedia di pasaran

TTL Logic OR Gates


74LS32 Quad 2-input

CMOS Logic OR Gates

CD4071 Quad 2-input

CD4075 Triple 3-input

CD4072 Dual 4-input

Gerbang NOT (NOT GATE)


Gerbang NOT atau disebut juga "NOT GATE" atau Inverter (Gerbang Pembalik) adalah jenis

gerbang logika yang hanya memiliki satu input (Masukan) dan satu output (keluaran). Dikatakan

Inverter (gerbang pembalik) karena gerbang ini akan menghasilkan nilai ouput yang berlawanan

dengan nilai inputnya


Pada gerbang logika NOT, simbol yang menandakan operasi gerbang logika NOT adalah tanda minus

(-) diatas variabel, perhatikan gambar diatas.

Perhatikan tabel kebenaran gerbang NOT. Cara cepat untuk mengingat tabelnya adalah dengan

mengingat pernyataan berikut. "Gerbang NOT akan menghasilkan output (keluaran) logika 1 bila

variabel input (masukan) bernilai logika 0" sebalikanya "Gerbang NOT akan menghasilkan keluaran

logika 0 bila input (masukan) bernilai logika 1".

Transistor Gerbang NOT

Tidak seperti pada gerbang AND dan Gerbang OR, Gerbang NOT tidak dapat dibuat menggunakan

RTL Resistor-Transistor Switch yang terhubung langsung ke basis transistor. Adapun transistor harus

berada pada kondisi jenuh "ON" dan untuk output "OFF" terbalik pada keluaran Q.
Pada gerbang logika NOT digunakan untuk menghasilkan fungsi logis yang diinginkan, Adapun

gerbang NOT standar diberi simbol yang bentuknya segitiga menunjuk ke kanan dengan lingkaran di

ujungnya.

Lingkaran ini dikenal sebagai "gelembung inversi" dan digunakan dalam simbol NOT, NAND dan

NOR pada keluarannya (output) untuk merepresentasikan operasi logis dari fungsi NOT. Gelembung

ini menunjukkan inversi sinyal (komplementasi) dari sinyal dan dapat hadir pada salah satu atau

kedua output dan / atau terminal input.

Karena hanya memiliki satu input, Gerbang NOT secara umum tidak dikategorikan sebagai "Decision

Maker" atau pengambil keputusan. Tidak seperti gerbang AND dan OR yang mempunyai input 2 atau

lebih. Di pasaran sendiri IC gerbang NOT hanya tersedia dalam 4 atau 6 gerbang di 1 paket IC.

"Gelembung" (o) yang terdapat pada akhir simbol gerbang NOT menunjukkan inversi sinyal

(Komplementasi) dari sinyal outputnya, tetapi gelembung (o) ini juga terdapat pada input gerbang

yang menandakan input aktif-RENDAH.

Harus diingat bahwa pembalikan sinyal input ini tidak hanya terbatas pada gerbang NOT saja karena

juga dapat digunakan pada sirkuit digital atau gerbang pada operasi inversi yang persis sama baik

pada terminal input atau output. SIMPEL-nya kita cukup menganggap gelembung tersebut hanya

sebagai inverter.

Pembalikan Sinyal menggunakan Gelembung masukan Aktif-rendah


Adapan IC inverter gerbang logika NOT yang tersedia yaitu :

TTL Logic NOT Gates

74LS04 Hex Inverting NOT Gate

74LS14 Hex Schmitt Inverting NOT Gate

74LS1004 Hex Inverting Drivers

CMOS Logic NOT Gates

CD4009 Hex Inverting NOT Gate

CD4069 Hex Inverting NOT Gate


Gerbang NAND (NAND GATE)
Gerbang NAND atau disebut juga "NAND GATE" adalah jenis gerbang logika kombinasi yang

memiliki dua input (Masukan) dan satu output (keluaran). Pada dasarnya gerbang NAND merupakan

pengembangan atau kombinasi dari gerbang AND dan gerbang NOT "NAND = NOT AND".

Pada gerbang logika NAND, simbol yang menandakan operasi gerbang logika NAND adalah tanda

bar (-) diatas variabel, perhatikan gambar diatas. Perhatikan tabel kebenaran gerbang NAND. Cara

cepat untuk mengingat tabelnya adalah dengan mengingat pernyataan berikut. "Gerbang NAND akan
menghasilkan output logika 0 bila semua inputnya memiliki logika 1" sedangkan " Gerbang NAND

akan menghasilkan keluaran logika 1 bila salah satu input atau semua input memiliki logika 0".Secara

singkat, cukup mengingat gerbang logika AND, karena output dari gerbang logika NAND merupakan

kebalikan dari output gerbang AND.

Transistor Gerbang NAND


Secara sederhana, gerbang logika NAND 2 input dapat dibangun menggunakan RTL Resistor-

transistor Switch yang terhubung bersama degan input yang terhubung langsung ke basis transistor,

dimana transistor harus dalam keadaan cut-off "MATI" untuk keluaran Q. Gerbang logika NAND

dapat menghasilkan fungsi logis yang diinginkan dengan simbol berupa gerbang AND standar dengan

tambahan lingkaran (biasa juga disebut sebagai "Gelembung Inversi" pada bagian output yang mana

mewakili gerbang NOT) yang disebut sebagai operasi logika NAND.

Jenis Gerbang Logika NAND


Seperti halnya dengan fungsi AND pada pembahasan sebelumnya, fungsi NAND juga memiliki

input tersendiri dimana IC gerbang NAND yang tersedia dipasaran hanya dalam tipe 2, 3, 4 input saja.

Untuk mengatasi hal tersebut, maka gerbang NAND dapat dibuat bersama dengan memberi lebih

banyak input

FUNGSI NAND 4 Input

Berdasarkan gambar diatas ekspresi Boolean untuk gerbang NAND 4 input yaitu : Q = A.B.C.D

Apabila jumlah input yang diperlukan adalah jumlah ganjil, maka untuk input yang tidak terpakai

dijadikan HIGH yang mana dihubungkan langsung ke catu daya menggunakan resistor "Pull-up" yang

sesuai. Fungsi Logika Gerbang NAND disebut juga Fungsi Sheffer Stroke, dilambangkan dengan bar

vertikal atau operator panah keatas, sebagai contoh A NAND B = A|B or A↑B.
Gerbang NAND "Universal"
Gerbang logika NAND umumnya disebut juuga sebagai gerbang universal, hal ini dikarenakan

gerbang NAND merupakan gerbang yang paling umum digunakan. Disamping itu, gerbang NAND

juga dapat menghasilkan semua gerbang logika lainnya sehingga dalam praktiknya gerbang NAND

dapat membentuk rangkaian logika paling praktis. Dengan menggabungkannya secara bersama-sama,

maka 3 gerbang logika dasar yaitu gerbang AND, OR, dan NOT dapat dibuat. Berikut contonhnya

Berikut variasi gerbang logika yang dapat terbentuk hanya dari gerbang NAND

Disamping 3 jenis gerbang diatas, masih dapat dibentuk gerbang lainnya yaitu gerbang Exclusive-OR,

Exclusive-NOR dan gerbang NOR. Berikut ini IC gerbang logika NAND yang tersedia di pasaran

yaitu :

TTL Logic NAND Gates

74LS00 Quad 2-input

74LS10 Triple 3-input


74LS20 Dual 4-input

74LS30 Single 8-input

CMOS Logic NAND Gates

CD4011 Quad 2-input

CD4023 Triple 3-input

CD4012 Dual 4-input

Gerbang NOR (NOR GATE)


Gerbang NOR atau "NOR GATE" merupakan pengembangan dari gabungan kombinasi

gerbang OR dan gerbang NOT. Gerbang ini juga memiliki dua input dan 1 satu keluaran, untuk lebih

jelasnya perhatikan gambar simbol dan tabel kebenaran dibawah.


Pada gerbang logika NOR, simbol yang menandakan operasi gerbang logika NAND adalah tanda

tanbah (+) dan bar (-) diatas variabel, perhatikan gambar diatas. Perhatikan tabel kebenaran gerbang

NOR. Cara cepat untuk mengingat tabelnya adalah dengan mengingat pernyataan berikut. "Gerbang

NOR akan menghasilkan output logika 1 bila semua inputnya memiliki logika 0" sedangkan "

Gerbang NOR akan menghasilkan keluaran logika 0 bila salah satu input atau semua input memiliki

logika 1". Secara singkat, sama halnya dengan gerbang AND. Output gerbang NOR merupakan

kebalikan ouput gerbang OR, jadi cukup mengingat gerbang OR saja lalu membaliknya.

Transistor Gerbang NOR


Secara sederhana, gerbang logika NOR dapat dibangun dengan menggunakan RTL Resistor-

transistor Switch yang dihubungkan secara bersama-sama dengan input yang terhubung langsung ke

basis transistor. Kedua transistor harus dalam keadaan cut-off "MATI" untuk keluaran Q.
Gerbang logika NOR dapat menghasilkan fungsi logis yang diinginkan dengan simbol berupa gerbang

OR standar dengan tambahan lingkaran (biasa juga disebut sebagai "Gelembung Inversi" pada bagian

output yang mana mewakili gerbang NOT) yang disebut sebagai operasi logika NOR.
Jenis Gerbang Logika NOR

Seperti hanya fungsi OR, fungsi NOR juga memiliki jumlah input tersendiri yang mana untuk IC

gerbang NOR dipasaran hanya tersedia untuk gerbang 2, 3,dan 4 input saja. Maka Untuk mengatasi

hal tersebut, dapat dihuhubungkan beberapa gerbang logika NOR bersama-sama untuk mendapatkan

jumlah input yang diinginkan, berikut contohnya

Fungsi NOR 4 Input


Berdasarkan gambar diatas ekspresi Boolean untuk gerbang NOR 4 input yaitu : Q = A+B+C+D

Apabila jumlah input yang diperlukan adalah jumlah ganjil, maka untuk input yang tidak terpakai

dijadikan LOW yang mana dihubungkan langsung ke ground menggunakan resistor "Pull-down" yang

sesuai. Fungsi Logika Gerbang NAND disebut juga Fungsi Pierce, dilambangkan dengan bar vertikal

atau operator panah kebawah, sebagai contoh A↓B.

Gerbang NOR "Universal"


Seperti hanya gerbang logika NAND, gerbang NOR umumnya disebut juuga sebagai gerbang

universal, hal ini dikarenakan gerbang NOR dapat menghasilkan berbagai jenis gerbang logika

lainnya seperti halnya gerbang NAND. Dengan menghubungkannya secara bersama-sama, maka

gerbang NOR jga dapat membentuk 3 gerbang logika dasar yaitu gerbang AND, OR, dan NOT.

Berikut contonhnya variasi gerbang logika yang dapat terbentuk hanya dari gerbang NAND
Disamping 3 jenis gerbang diatas, masih dapat dibentuk gerbang lainnya yaitu gerbang Exclusive-OR,

Exclusive-NOR dan gerbang NOR.

Berikut ini IC gerbang logika NOR yang tersedia dipasaran yaitu :

TTL Logic NOR Gates

74LS02 Quad 2-input

74LS27 Triple 3-input

74LS260 Dual 4-input

CMOS Logic NOR Gates

CD4001 Quad 2-input

CD4025 Triple 3-input

CD4002 Dual 4-input


Gerbang X-OR (X-OR GATE)
Gerbang Exclusive OR atau disingkat gerbang X-OR, terdiri dari 2 input dan 1 output.
Untuk mengingat tabel kebenaran gerbang X-OR cukup mengingat pernyataan berikut ini. "Bila

kedua input bernilai logika yang sama maka akan menghasilkan output logika 0" sedangkan "Bila

kedua input bernilai logika berbeda maka akan menhasilkan output logika 1".

Gerbang X-NOR (X-NOR GATE)


Gerbang X-NOR merupakan kombinasi dari gerbang X-OR dan gerbang NOT. Perhatikan

simbol dan tabel kebenaran gerbang X-NOR berikut.

Karena merupakan kebalikan dari gerbang X-OR, maka untuk mengingat tabel kebenaran gerbang

logika X-NOR untuk dengan mengingat tabel kebenaran gerbang logika X-OR lalu membalik nilai

outputnya. Karena merupakan kebalikan dari gerbang X-OR, maka untuk mengingat tabel kebenaran

gerbang logika X-NOR untuk dengan mengingat tabel kebenaran gerbang logika X-OR lalu membalik

nilai outputnya.
Penerapan Gerbang Logika
Gerbang logika kebanyakan dipergunakan dalam sistem elektronika digital dengan menggunakan

komponen-komponen elektronika seperti integrated circuit (IC), transistor, relay, dioda, optik maupun

elemen mekanikal.
DAFTAR PUSTAKA

Albert, Paul dan Tjia.1994. Elektronika Digital Komputer Dan Pengantar Komputer Jilid 2. Jakarta :
Erlangga
Kurniawan, Fredly.2005. Jurnal Sistem Digital Konsep Dam Aplikasi Volume 3.Yogyakarta: Gava
Media
Muhsin. 2004. Elektronika Digital Teori Dan Penyelesaiannya. Yogyakarta. Grana Ilmu
P. Grade , Atul dan Mrs Deepali A Godse. 2009. Digital Logics Circuits. India : Technical
Publications Pune
Isma, Atika. 2016. Pengertian Gerbang Logika Dasar dan Jenis-Jenisnya. Diunduh dari
http://blog.unnes.ac.id/ pada 6 Juni 2018 pukul 22.30 WIB. Kho, Dickson. 2018.
Pengertian Gerbang Logika Dasar dan Jenis-Jenisnya. Diunduh dari https://teknikelektronika.com/
pada 6 Juni 2018 pukul 22.15 WIB
Malvino. (1983). Elektronika dan Instrumentasi. Jakarta: Erlangga.
Irwansyah, Muhammad. (2009). Gerbang Logika Berbasis Programmable Logic Device (PLD), 1(1).
Godse. (2009). Digital Logic Circuits. India: Technical Publications Pune.
Widjanarka, N. Wijaya. (2006). Teknik Digital. Jakarta: Erlangga..

Anda mungkin juga menyukai