Anda di halaman 1dari 25

LAPORAN PRAKTIKUM

ELEKTRONIKA FISIS DASAR II

Disusun oleh :
NAMA

MUH. KHAIDIR ALIM DAR

NIM

H21111289

KELOMPOK

XIII (TIGA BELAS)

ASISTEN

LABORATORIUM ELEKTRONIKA DAN INSTRUMENTASI


JURUSAN FISIKA PROGRAM STUDI FISIKA
FAKULTAS MATEMATIKA DAN ILMU PENGETAHUAN ALAM
UNIVERSITAS HASANUDDIN
MAKASSAR
2015

BAB I
PENDAHULUAN
I.1 Latar Belakang
Pertengahan

dizaman

1990,

industri

elektronika

sangat

mengalami

perkembangan dalam personal computer, telepon seluler dan peralatan komunikasi


data dengan kecepatan tinggi. Untuk persaingan pasar, produsen membuat produk
dengan meningkatkan fungsi, penampilan, biaya rendah, konsumsi daya yang rendah
dan ukuran yang kecil. Untuk memenuhi kebutuhan ini, produsen menciptakan sistem
yang komplek dengan pemakain IC yang lebih sedikit dan ukuran PCB yang lebih
kecil. Berbagai teknologi terpadu digunakan seperti proses submicron semikonduktor,
teknologi PCB, dan pemaksimalan penggunaan permukaan PCB.
Penunjang design digunakan oleh para designer berupa Electronic Design
Automation (EDA) tools. Kondisi pasar ini membuat metodologi modern dalam
design dan tes digunakan, antara lain Programmable Logic Device (PLD). Untuk
mengikuti perkembangan ini, perusahaan elektronika telah mendesain dan membuat
produk baru. Untuk memenuhi tuntutan ini, engineers dan teknisi berusaha untuk
membuat penemuan yang membantu mereka membuat prototype rangkaian digital
dan mengevaluasi kemajuannya dalam waktu yang lama. Salah satunya adalah
teknologi
Programmable Logic Devices (PLD) yang merupakan IC digital logic yang
bisa dirubah fungsinya melalui pemrograman dan seperti diindustri, akan dapat dilihat
bagaimana mudahnya membuat rangkaian digital menggunakan PLD. Berbagai
Programmable Logic Device (PLD) dapat digunakan untuk logika pemrograman
yang banyak dengan hanya menggunakan satu IC.
Keuntungannya adalah kefleksibelannya dalam penggunaan dengan skedul
yang lebih ketat, untuk penggunaan pada produk dengan volume yang kecil dan untuk
pengaktifan awal sebuah produk digunakan pada high volume.

I.2 Ruang Lingkup


Dari percobaan yang dilakukan dimana bahan utamanya yaitu IC ,dimana IC
tersebut terdiri dari berbagai macam tergantung pada jenis gerbangnya sendiri.Dan
menggunakan komponen lain yaitu kabel penghubung, kabel buaya, papan rangkaian,
lampu LED, dan catu daya. Dan menganalisis gerbang logika, menggunakan berbagai
gerbang logika dasar (NOT , AND, OR, NOR, NAND), membuktikan tabel
kebenaran dari setiap gerbang logika dan memahami prinsip kerja gerbang NAND
untuk membentuk gerbang NOT,OR, AND dan NOR.
I.3 Tujuan Percobaan
Adapun tujuan dari praktikum ini adalah :
1. Menganalisis gerbang logika .
2. Menggunakan berbagai gerbang logika dasar NOT, AND, OR, NOR, dan
NAND.
3. Membuktikan table kebenaran dari setiap gerbang logika.
4. Memahami prinsip kerja gerbang NAND untuk membentuk gerbang NOT,
OR, AND, dan NOR.
I.4 Waktu dan Tempat
Pada percobaan DIGITAL LOGIC TRAINER ini dilaksanakan pada hari
Senin tanggal 06 Maret 2015, tepatnya pada pukul 13.00 s/d 15.00 WITA. Percobaan
ini berlangsung di laboratorium Elektronika dan Instrumentasi, Fakultas Matematika
Dan Ilmu Pengetahuan Alam, Universitas Hasanuddin.

BAB II

TINJAUAN PUSTAKA
Gerbang logika adalah rangkaian dasar yang mebentuk computer. Jutaan
transistor di dalam mikroprosesor membentuk ribuan gerbang logika. Sebuah gerbang
logika sederhana mempunyai satu terminal input. Keluarannya dapat tinggi/high (1)
atau rendah/low (0), tergantung level digital yang diberikan pada terminal input. Di
sini kita akan membahas 5 gerbang logika dasar saja, diantaranya: AND, OR, NAND,
NOR, Inverter (NOT).
Gerbang logika merupakan abstraksi komponen rangkaian elektronik yangb
beroperasi pada satu atau lebih sinyal masukan untuk menghasilkan sinyal keluaran.
Logika gerbang merupakan logika yang mendasari rangkaian digital untuk
membentuk suatu sistem digital. Ada tiga operasi logika dasar yaitu AND, OR dan
NOT. Variabel logika/biner direpresentasikan oleh A, B, C, ...,X, Y, Z. Fungsi logika
biner dituliskan sebagai,
F(var) = ekspresi
1. Var merupakan himpunan variabel biner
2. Ekspresi terdiri dari operator (+, -, *), variabel, konstanta (0,1)
Misal : F(a,b) = a+ b * b .(1)
G(x,y,z) = x * (y+z) (2)
Gerbang logika yang kini sering dipakai berasal dari IC logika seperti 74xx
atau 40xx. Satu IC yang berisi 4-8 gerbang loika kini hanya berharga beberapa ribu
rupiah, namun IC yang dibuat dengan teknologi tinggi seperti prosesor Intel Pentium,
memiliki berjuta-juta gegrbang logika, yang harga tiap gerbang logika menjadi hanya
beberapa rupiah.
Semua chip kompleks yang melakukan pemrosessan sinyal secara digital
dapat dipastikan menggunakan gerbang-gerbang logika pada detail desain
rangkaiannya. Gerbang logika atau gerbang logik adalah suatu entitas dalam

elektronika dan matematika boolean yang mengubah satuatau beberapa masukan


logik menjadi sebuah sinyal keluaran logik.
Gerbang logika terutama diimplementasikan secara elektronis menggunakan
dioda atau transistor, akan tetapi dapat pula dibangun menggunakan susunan
komponen-komponen yang memanfaatkan sifat-sifatelektromagnetik (relay), cairan,
optik dan bahkan mekanik.
Operator logika dasar
1. Logika AND 1 bit mirip perkalian biner
0.0=00.1=01.0=01.1=1
2. Logika OR 1 bit mirip penjumlahan biner
0 + 0 = 0 0 + 1 = 1 1 + 0 = 1 1 + 1 = 1 (carry 1 (MSB), seharusnya 102)
1. Gerbang AND
Gerbang AND memiliki dua masukan input yaitu input A dan input B. Operasi
dari gerbang ini sederhana, yaitu output Y atau keluaran Y akan mejadi 1 jika kedua
syarat inputnya dalam keadaan 1. Jika salah satu dari isyarat masukannya 1, maka
sinyal keluarannya tetap 0. Dan bila kedua inputnya 0 maka outputnya akan 0.
A

B
Masukan AND :
Input A
0
0
1
1

Input B
0
1
0
1

2. Gerbang OR

Output
0
0
0
1

Gerbang OR memiliki dua masukan input dan satu isyarat keluaran output.
Jika salah satu atau kedua isyarat masukannya 1, maka sinyal keluarannya adalah 1.
Jika kedua masukannya yaitu masukan A dan masukan B adalah 0 maka keluarannya
0.
A

Masukan OR :
Input A
0
0
1
1

Input B
0
1
0
1

Output
0
1
1
1

3. Gerbang NAND
Gerbang NAND merupakan gerbang logika kombinasi yang sama operasinya
dengan gerbang dasar AND, tetapi pada bagian keluarannya dibalik dengan gerbang
logika inverter (NOT).
A

Masukan NAND:
Input A
0
0
1
1

Input B
0
1
0
1

Output
1
1
1
0

4. Gerbang NOR
Gerbang logika NOR adalah sebuah gerbang logika kombinasional yang sama
operasinya dengan gerbang logika dasar OR, tetapi bagian outputnya dibalik dengan
gerbang inverter (NOT).
A

Masukan NOR:
Input A
0
0
1
1

Input B
0
1
0
1

Output
1
0
0
0

5. Gerbang NOT
Gerbang logika inverter yang sering disebut gerbang logika NOT adalah
sebuah gerbang logika yang memiliki hanya satu input dan hanya satu output,
fungsinya sebagai pembalik. Prinsip kerja dari gerbang logika inverter sangat
sederhana, yaitu apapun keadaan isyarat yang diberikan pada bagian input akan
dibalik oleh gerbang logika ini sehingga pada bagian outputnya akan menjadi
berlawanan, atau keadannya terbalik.
Contohnya, jika pada inputnya keadaanya 1 maka keadaan keluarannya akan
menjadi 0. Demikan juga jika pada bagian inputnya bernilai 0 maka keluarannya akan
bernilai 1. Dengan kata lain, isyarat outputnya adalah komplemen dari isyarat
outputnya.

Masukan NOT :
Input
0
1

Output
1
0

ANALISA GERBANG LOGIKA DASAR


Suatu fungsi F = A + B.C + A.B, rangkaian logika kombinasional dapat
dibangun untuk mengimplementasikan F dengan menghubungkan sinyal masukan
dan gerbang logika: sinyal masukan rangkaian dari variabel fungsi (A, B, C), sinyal
keluaran rangkaian merupakan keluaran fungsi (F) dan gerbang logika dari operasi
logika.
Untuk percobaan test fisiknya, dipermudah dengan melihat konfigurasi pin
sebagai berikut. Dari konfigurasi di atas dapat terlihat bahwa untuk masing-masing
gerbang:
Gerbang AND
Switch yang dipakai sebagai input adalah S6(A0) dan S2(B0), dan outputnya
adalah Q1. Berdasarkan teori gerbang AND, pada saat kedua input atau salah satunya
yang diberikan bernilai rendah, maka keluarannya akan bernilai rendah. Dan hanya
akan bernilai tinggi jika kedua inputnya bernilai tinggi. Hal ini telah dibuktikan pada
simulasi dan test fisiknya. Untuk logikanya dapat dilihat pada table kebenaran dan
penjelasan berikut:
Q = A . B (dinotasikan dengan dan) .(3)
I(A0) = 0
I(B0) = 0
Maka O(Q1) = 0
I(A0) = 0
I(B0) = 1
Maka O(Q1) = 0

I(A0) = 1
I(B0) = 0
Maka O(Q1) = 0
I(A0) = 1
I(B0) = 1
Maka O(Q1) = 1
Gerbang OR
Untuk gerbang OR, switch yang digunakan sebagai inputannya adalah S7(A1)
dan S3(B1). Sedangkan untuk keluarannya adalah Q2. Terlihat bahwa teori yang
digunakan sangat mendukung percobaan yang dilakukan pada test fisiknya. Bahwa
pada teori untuk gerbang OR dapat dijelaskan, keluarannya akan bernilai 0 (low) jika
kedua input yang diberikan bernilai 0 (low), dan berbeda dengan gerbang AND,
gerbang OR akan bernilai 1 (high) jika salah satu atau kedua inputannya bernilai 1
(high).
Q = A + B (dinotasikan dengan atau).(4)
I(A1) = 0
I(B1) = 0
Maka O(Q2) = 0
I(A1) = 0
I(B1) = 1
Maka O(Q2) = 1
I(A1) = 1
I(B1) = 0
Maka O(Q2) = 1
I(A1) = 1
I(B1) = 1
Maka O(Q2) = 1

Gerbang NOT
Gerbang NOT merupakan negasi atau kebalikan dari inputnya. Jika input yang
diberikan bernilai 1 (high) maka keluarannya akan bernilai 0 (low). Logikanya dapat
dinyatakan sebagai berikut:
I(S) = 0
Maka O(Q) = 1 atau sebaliknya
Gerbang NAND
Gerbang NAND merupakan gerbang AND yang diinverterkan atau kebalikan dari
gerbang AND, maksudnya pada saat kedua input atau salah satunya bernilai 0 (low)
maka keluarannya akan bernilai 1 (high). Artinya keluarannya bernilai 0 (low) jika
kedua inputannya bernilai 1 (high). Terlihat pada konfigurasi, switch yang digunakan
untuk inputannya adalah S8(A2) dan S4(B2), sedangkan untuk keluarannya adalah
Q3.
Q = A . B .(5)
I(A2) = 0
I(B2) = 0
Maka O(Q3) = 1
I(A2) = 0
I(B2) = 1
Maka O(Q3) = 1
I(A2) = 1
I(B2) = 0
Maka O(Q3) = 1
I(A2) = 1
I(B2) = 1
Maka O(Q3) = 0
Gerbang NOR

Gerbang NOR merupakan kebalikan dari gerbang NAND, dimana keluarannya akan
bernilai 0 (low) jika salah satu atau kedua input yang diberikan bernilai 1 (high).
Switch yang digunakan sebagai Input adalah S9(A3) dan S5(B3) dan outputnya
adalah Q4.
Q= A + B ..(6)
I(A2) = 0
I(B2) = 0
Maka O(Q3) = 1
I(A2) = 0
I(B2) = 1
Maka O(Q3) = 0
I(A2) = 1
I(B2) = 0
Maka O(Q3) = 0
I(A2) = 1
I(B2) = 1
Maka O(Q3) = 0
BAB III
METODOLOGI PERCOBAAN
II1.I Alat dan Bahan
III.1.1 Alat dan fungsinya
Adapun alat yang digunakan pada praktikum ini adalah sebagai berikut :
1. Catu daya
Alat yang berfungsi sebagai power supply.

2. Papan rangkaian
Digunakan untuk meletakkan rangkaian sehingga rangkai dapat terhubung.

3. Kabel jumper
Kabel Jumper Digunakan untuk menghubungkan antara rangkaian dengan
catu daya, signal generator, dan osiloskop. Dan Papan Rangkaian
digunakan sebagai tempat rangkaian.

4. Kabel penghubung
Berfungsi untuk menghubungkan rangkaian dengan catu daya

III.1.2 Bahan dan Fungsinya


Adapun bahan yang digunakan pada praktikum ini adalah :
1. IC
Berfungsi untuk membentuk berbagai gerbang atau objek pengamatan

2. Lampu LED
Berfungsi sebagai output atau tanda low atau highnya output

III.2 Prosedur kerja


Adapun prosedur percobaan pada praktikum ini adalah
1. Membuktikan semua tabel kebenaran
a. Menyiapkan bahan dan alat yang akan digunakan
b. Membuat rangkaian
Gerbang AND
- Memasang IC 7408 pada papan rangkaian.
- Menentukkan kaki IC yang mana yang menjadi input A, input B dan
-

outputnya.
Memasang kabel jumper pada kaki 1 dan kaki 2 sebagai inputnya ,kaki

3 sebagai outputnya.
Memasang LED pada papan rangkaian sejajar dengan IC.
Menghubungkan kaki 3 sebagai output ke kaki positif LED dengan
menggunakan kabel jumper dan kaki 7 sebagai ground dihubungkan

pada kaki negative LED.


Memasang kabel jumper pada kaki 7 sebagai ground dan kaki 14
adalah Vcc.

Menyalakan catu daya kemudian buktikan dan perhatikan tabel


kebenaran, LED akan memyala jika input A dan input B nilainya
adalah 1.

Gerbang OR
- Memasang IC 7432 pada papan rangkaian.
- Menentukkan kaki IC yang mana yang menjadi input A, input B dan
-

outputnya.
Memasang kabel jumper pada kaki

intput dan kaki 3 sebagai output.


Memasang LED pada papan rangkaian sejajar dengan IC.
Memasang kabel jumper pada kaki 3 kemudian hubungkan dengan

kaki (+) LED dan kaki 7 kemudian hubungkan dengan kaki (-) LED.
Memasang kabel jumper pada kaki 7 sebagai ground dan kaki 14 untuk

Vcc nya
Menghubungkan rangkaian ke catu daya dengan cara penjepit buaya

sebagai input 1dan 2 sebagai

sebagai input 1 yang berwarna merah dan kaki 2 berwarna hitam. Dan
pada kaki 7 dipasang kabel penjepit yang hitam sebagai groundnya dan
-

yang merah dijepitkan pada Vcc.


Menyalakan catu daya kemudian buktikan dan perhatikan tabel

kebenaran, LED mati apabila input A dan input B nilainya adalah 0.


Gerbang NOT
- Memasang IC 7404 pada papan rangkaian.
- Menentukkan kaki IC yang mana yang menjadi input dan outputnya.
- Memasang kabel jumper pada kaki 1 sebagai input,kaki 2 sebagai
-

output .
Memasang LED pada papan rangkaian sejajar dengan IC.
Memasang kabel jumper pada kaki 2 kemudian hubungkan ke kaki (+)
LED dan kabel jumper pada kaki 7 hubungkan dengan kaki (-) LED.

Menyalakan catu daya kemudian buktikan dan perhatikan tabel

kebenaran, LED akan menyala apabila inputnya bernilai 1.


Gerbang NAND
Memasang IC 7400 pada papan rangkaian.
Menentukkan kaki IC yang mana yang menjadi input dan outputnya.
Menentukan kaki IC input A, input B dan outputnya
Memasang kabel jumper pada kaki 1 dan 2 sebagai inputnya dan 3

sebagai outputnya.
Memasang LED pada papan rangkaian sejajar dengan IC.
Memasang kabel jumper pada kaki 3 IC kemudian hubungkan ke kaki

(+) LED,pada kaki 7 sebagai ground di hubungkan ke keki (-) LED.


Menghubungkan rangkaian dengan catu daya .
Menyalakan catu daya kemudian buktikan dan perhatikan tabel
kebenaran, LED tidak akan menyala apabila inputnya bernilai 1

semua.
Gerbang NOR
Memasang IC 7402 pada papan rangkaian.
Menentukkan kaki IC yang mana yang menjadi input dan outputnya.
Memasang kabel jumper pada kaki 8 sebagai input A,kaki 9 sebagai

input B dan kaki 10 sebagai output.


Memasang LED pada papan rangkaian sejajar dengan IC.
Memasang kabel jumper pada kaki 10 IC kemudian hubungkan ke kaki

(+) LED,pada kaki 7 IC kemudian hubungkan ke kaki (-) LED.


Memasang kabel jumper kaki 7 IC sebagai ground dan kaki 14 sebagai

Vcc.
Menghubungkan rangkaian dengan catu daya dengan cara penjepit
buaya hitam merah pada kaki 8 dan kaki 9 sebagai input

,kabel

penjepit hitam pada kaki 7 sebagai ground dan merah pada kaki 14
sebagai Vcc.

Menyalakan catu daya kemudian buktikan dan perhatikan tabel

kebenaran, LED akan menyala apabila inputnya bernilai 0 semua.


2. Gerbang NAND sebagai gerbang universal
a. Menyiapkan alat dan bahan yang akan digunakan
b. Membuat rangkaia
Gerbang NAND sebagai NOT
- Memasang IC 7400 pada papan rangkaian.
- Menentukkan kaki IC yang mana yang menjadi input dan outputnya.
- Memasang kabel jumper pada kaki 9 dan 10 sebagai input yang telah
-

dihubungkan.
Memasang LED pada papan rangkaian sejajar dengan IC.
Memasang kabel jumper pada kaki 8 IC sebagai output kemudian
hubungkan ke kaki (+) LED,pada kaki 7 IC kemudian hubungkan ke

kaki (-) LED.


Menghubungkan rangkaian dengan catu daya.
Menyalakan catu daya kemudian buktikan dan perhatikan tabel

kebenaran pada gerbang NOT.


Gerbang NAND sebagai AND.
- Memasang IC 7400 pada papan rangkaian.
- Menentukkan kaki IC yang mana yang menjadi input dan outputnya.
- Memasang kabel jumper pada kaki 2 dan kaki 3 yang telah
-

dihubungkan.
Memasang LED pada papan rangkaian sejajar dengan IC.
Memasang kabel jumper pada kaki 1 IC kemudian hubungkan ke kaki

(+) LED,pada kaki 7 IC kemudian hubungkan ke kaki (-) LED.


Menghubungkan rangkaian dengan catu daya .
Menyalakan catu daya kemudian buktikan dan perhatikan tabel

kebenaran pada gerbang AND.


Gerbang NAND sebagai OR
Memasang IC 7400 pada papan rangkaian.
Menentukkan kaki IC yang mana yang menjadi input dan outputnya.
Memasang kabel jumper pada kaki 1 dan 2 yang telah dihubungkan
sebagai input pertama, dan kaki 4 dan 5 yang telah dihubungkan

sebagai input kedua. Serta kaki 3 dihubungkan ke kaki 9 dan 6


dihubungkan ke kaki 10 dan memasangkan kabel jumper dikaki 8
-

sebagai sebagai outputnya.


Memasang LED pada papan rangkaian sejajar dengan IC.
Memasang kabel jumper pada kaki 8 IC kemudian hubungkan ke kaki

(+) LED,pada kaki 7 IC kemudian hubungkan ke kaki (-) LED.


Menghubungkan rangkaian dengan catu daya.
Menyalakan catu daya kemudian buktikan dan perhatikan tabel
kebenaran pada gerbang OR.

BAB IV

HASIL DAN PEMBAHASAN


IV.1 Hasil
IV.1.1 Hasil Pengamatan
A. Table kebenaran
1. Gerbang AND
Input A
Input B
0
0
0
1
1
0
1
1

Output
0
0
0
1

2. Gerbang OR
Input A
Input B
0
0
0
1
1
0
1
1

Output
0
1
1
1

3. Gerbang NAND
Input A
Input B
0
0
0
1
1
0
1
1

Output
1
1
1
0

4. Gerbang NOR
Input A
Input B
0
0
0
1
1
0
1
1

Output
1
0
0
0

5. Gerbang NOT
Input
0
1
IV.1.2 Gambar

Output
1
0

A. Gambar Gerbang Logika


1. Gambar rangkaian gerbang AND

2. Gambar rangkaian gerbang OR

3. Gambar rangkaian gerbang NAND

4. Gambar rangkaian gerbang NOR

5. Gambar rangkaian gerbang NOT

B. Gerbang NAND sebagai gerbang universal


1. NAND sebagai NOT

2. NAND sebagai AND

3. NAND sebagai OR

IV.2 Pembahasan
Berdasarkan hasil praktikum ini, pada percobaan digital logik trainer ini, pada
pembuktian tabel kebenaran gerbang AND akan berlogika 1 atau keluarannya akan
berlogika 1 apabila semua masukan atau inputnya berlogika 1, namun apabila semua
atau salah satu masukannya berlogika 0 maka outputnya akan berlogika 0. Pada
pembuktian tabel kebenaran gerbang OR akan berlogika 1 apabila salah satu atau
semua input yang dimasukkan bernilai 1 dan apabila keluaran yang di inginkan
berlogika 0 maka input yang dimasukkan harus bernilai 0 semua. Pada pembuktian
tabel kebenaran gerbang NOT akan berlogika sebagai pembalik, yang mana
outputnya akan bernilai terbalik dengan inputnya. Pada pembuktian tabel kebenaran
gerbang NAND akan bernilai / outputnya akan berlogika 0 apabila semua inputannya

bernilai 1 dan outputnya akan berlogika 1 apabila semua atau salah satu inputannya
bernilai 0. Pada pembuktian tabel kebenaran gerbang NOR merupakan gerbang
logika yang outputnya akan berlogika 1 apabila semua inputannya bernilai 0, dan
outputnya akan berlogika apabila semua atau salah satu inputannya berlogika 1.
Pada percobaaan gerbang NAND sebagai gerbang universal baik itu NAND
sebagai NOT, OR maupun AND terbukti bahwa gerbang NAND itu dapat
membentuk semua jenis gerbang yang lain. Dengan menghubungkan beberapa
gerbang yang ada di NAND dengan formasi tertentu.
Adapun yang menyebabkan praktikum ini lama adalah karena alat dan bahan
yang sudah kurang berfungsi dan rusak seperti LED maupun cara pemasangan alat
beserta bahannya yang kurang baik.

BAB V
PENUTUP
V.I. Kesimpulan
1. Gerbang logika merupakan dasar pembentukan system digital.
Gerbang logika beroperasi dengan bilangan biner , sehingga disebut
juga gerbang logika biner.
2. Gerbang logika dasar terdiri atas beberapa gerbang diantaranya NOT,
NOR, AND, OR, NAND.
3. Table kebenaran dari setiap gerbang yang dihasilkan sama antara
praktek dan teori.
4. Gerbang NAND dapat digunakan untuk membentuk gerbang yang
lain.
V.2 Saran
V.2.1 Saran untuk Laboratorium

Perlengkapan praktikum diperbaharui karena kualitas dan kuantitas sarana


dan prasarana penunjang kurang memadai sehingga agak menyulitkan jalannya
praktikum.
V.2.2 Saran untuk Asisten
Cara menjelaskannya sudah lumayan bagus. dan pertahankan sikap baiknya
kepada praktikan.

DAFTAR PUSTAKA

Irmansyah,

Muhammad.

2009.

Gerbang

Logika

Berbasis

Proyrammable Logic Device (PLD). Politeknik Negeri Padang.


Padang. Journal of Research and Development in Education
google Cendekia. Di akses pada tanggal 6 april 2015 pukul 09.00
WITA.

Fery, Wahyu, Wibowo.2008. Logika Gerbang Sistem Digital. STMIK AMIKOM .


Yogyakarta . diakses pada tanggal 6 april 2015 pukul 09.00 WITA.

Anda mungkin juga menyukai