Anda di halaman 1dari 96

ISBN 978-979-15035-1-8

PROSIDING
SEMINAR NASIONAL EMBEDDED SYSTEM

Revitalisasi Klaster Industri Perangkat Telematika Nasional


Bandung, 20 September 2012

Pusat Penelitian Informatika


Lembaga Ilmu Pengetahuan Indonesia
2012

PROSIDING
SEMINAR NASIONAL EMBEDDED SYSTEM

Revitalisasi Klaster Industri Perangkat Telematika Nasional


Bandung, 20 September 2012

Pusat Penelitian Informatika


Lembaga Ilmu Pengetahuan Indonesia
2012

KOMITE PROGRAM DAN KOMITE PENGARAH


Penanggung Jawab :
Deputi Bidang Ilmu Pengetahuan Teknik-LIPI
Kepala Pusat Penelitian Informatika-LIPI
Komite Program dan Dewan Penyunting
Program Chairs :
Rifki Sadikin (Teknik Komputer, Puslit Informatika-LIPI)
Purnomo Husnul Khotimah (Sistem Kendali, Puslit Informatika-LIPI)
Wiwin Suwarningsih (Teknik Informatika, Puslit Informatika-LIPI)
Anggota:
Wawan Wardiana (Teknik Komputer, Puslit Informatika-LIPI)
Evandri (Teknik Informatika, Puslit Informatika-LIPI)
Djohar Syamsi (Sistem Kendali, Puslit Informatika-LIPI)
R. Budiarianto Suryo Kusumo (Teknik Komputer, Puslit Informatika-LIPI)
Puji Lestari (Teknik Komputer, Puslit Informatika-LIPI)
Edi Kurniawan (Sistem Kendali, Swinburne University of Technology, Melbourne, Australia)
Hilman Ferdinandus Pardede (Teknik Komputer, Tokyo Institute oh Technology, Japan)
Esa Perkasa (Teknik Informatika, Universitas Teknologi PETRONAS, Malaysia)
Andria Arisa (Teknik Informatika, Universitt Konstanz, Germany)
Dikdik Krisnandi (Sistem Kendali, Technicshe Universitt Kaiserslautern, Germany)
Komite Pengarah
Wawan Wardiana (Pusat Penelitian Informatika LIPI)
Djohar Syamsi (Pusat Penelitian Informatika LIPI)
Evandri (Pusat Penelitian Informatika LIPI)
Devi Munandar (Pusat Penelitian Informatika LIPI)

ii

| Seminar Nasional Embedded System, Bandung 20 September 2012

PANITIA PELAKSANA

Ketua Pelaksana

Arif Lukman

Sekretaris I
Sekretaris II

:
:

Bambang Sugiarto
Iftitahu Nimah

Bendahara I
Bendahara II

:
:

Lintang Dwi Febridiani


Tugiyarno

Seksi Seminar Utama

Agus Subekti
Suyoto

Seksi Call of Paper

Wiwin Suwarningsih.
Rifki Sadikin

Seksi Workshop

Ana Heryana
Sahrul Arif

Seksi Logistik

Eyi Kusaeril Habibi


Efendi Zaenudin

Seksi Publikasi dan


Kerjasama

Ferdian Yunazar
Akbari Indra Basuki
Oka Mahendra

Seksi Acara

Dewi Saraswati
An an Sarah Hertiana

| Seminar Nasional Embedded System, Bandung 20 September 2012

iii

KATA PENGANTAR
Prosiding Seminar Nasional Embedded System 2012 ini merupakan kumpulan makalah yang diterima dan
diseleksi oleh dewan penyunting sebagai salah satu rangkaian acara Seminar Nasional Embedded System
2012.
Seminar Nasional Embedded System 2012 diselenggarakan oleh Pusat Penelitian Informatika, Lembaga Ilmu
Pengetahuan Indonesia bekerja sama dengan Direktorat Jenderal Aplikasi Informatika Kementerian Negara
Komunikasi dan Informatika Republik Indonesia. Selain sebagai wadah diseminasi iptek di bidang embedded
system dari berbagai lembaga litbangyasa di Indonesia, seminar ini juga bertujuan untuk memfasilitasi dan
memformulasikan kolaborasi strategis antara lembaga litbangyasa, pemerintah, dan sektor swasta dalam
rangka mewujudkan klaster industri embedded system di Indonesia.
Rangkaian acara seminar nasional ini dimulai dengan penyelenggaraan workshop "Pengembangan Piranti
Cerdas Berbasis Linux Embedded" pada hari Rabu, tanggal 19 september 2012 bertempat di Lab Komputer,
Pusat Penelitian Informatika, Gedung 20 Lt.3 Komplek LIPI Jl. Cisitu No. 21/154D Bandung. Workshop
tersebut diikuti oleh para praktisi dan pengembang embedded system yang berasal dari kalangan industri
maupun lembaga litbangyasa.
Adapun acara puncak seminar nasional Embedded System diselenggarakan di Hotel Aston Primera Pasteur
Jl. Dr. Djunjunan No. 96 Bandung pada hari Kamis, tanggal 20 September 2012 dengan mengundang para
pembicara kunci di antaranya Bapak Dr. Ir. Ashwin Sasongko (Direktur Jenderal Aplikasi Informatika
Kementerian Negara Komunikasi dan Informatika Republik Indonesia), Bapak Tikno Sutisna (Direktur Utama
PT INTI) dan Prof. Dr. Ir. Suhono Harso Supangkat (Guru Besar Teknologi Informasi dan Komunikasi Sekolah
Teknik Elektro dan Informatika Institut Teknologi Bandung) yang mana bersama-sama dengan Bapak Ir. Hari
Purwanto (Staf Ahli Menteri Negara Riset dan Teknologi Bidang Hankam, TIK dan Transportasi) selaku
moderator seminar, telah membahas berbagai peluang dan tantangan dalam rangka merevitalisasi klaster
industri perangkat telematika nasional.
Seminar Nasional Embedded System 2012 tidak akan mungkin terjadi tanpa kontribusi dan kerja keras dari
pembicara kunci, semua pemakalah dan peserta non makalah, para komite program, komite pengarah
serta panitia penyelenggara. Dalam kesempatan ini perkenankan kami untuk menyampaikan penghargaan
yang sebesar-besarnya dan ucapan terimakasih kepada semua pihak yang telah mendukung kelancaran
pelaksanaan Seminar Nasional Embedded System ini. Teriring pula permohonan maaf yang sedalamdalamnya bilamana terdapat hal-hal yang kurang berkenan dalam penyelenggaraan seminar ini.

Bandung, 20 Oktober 2012.

Arif Lukman
Ketua Panitia

iv

| Seminar Nasional Embedded System, Bandung 20 September 2012

DAFTAR ISI
Halaman Judul
Susunan Komite Program dan Komite Pengarah
Susunan Panitia Pelaksana
Kata Pengantar
Daftar Isi

I
ii
iii
v
vi

Ekstraksi Ciri Pada Pengenalan Sistem Isyarat Bahasa Indonesia Berbasis Sensor Flex Dan
Accelerometer

Mohammand Iqbal, Endang Supriyati

Implementasi ATMega 128 Pada Reaktor Biodiesel Oil

Mila Fauziyah, Denda Dewatama, Zakiyah Irfin

Otomasi Mesin Pemotong Kayu Berbasis PLD Menggunakan VHDL

13

Supriatna Adhisuwignjo, Ratna Ika Putri, Sungkono

Penerapan Konsep Event Driven pada Perangkat Lunak Sistem Embedded

19

Ricky Henry Rawung, Arif Sasongko

Pengujian Anti Power Faillure Smart Card untuk Provider Telekomunikasi

25

Benediktus Dwi Desiyanto, Wisnu Anggoro, Fakih Irsyadi

Perancangan dan Implementasi Perangkat Generator Kode Framework Sistem


Embedded Hardware/Software Berbasis Model Event-Driven

29

I Wayan Sutaya, Arif Sasongko

Survey Metode Prediksi Penyakit

35

Rika Rosnelly, Edi Winarko

Pengembangan Komunitas Sistem Embedded dan Robotika di Universitas Dipenogoro

41

Adian Fatchur Rochim, Eko Didik Widianto

Rancang Bangun Aplikasi Tuntunan Praktis P3K Pada Perangkat Bergerak

45

Wiwin Suwarningsih

Penggunaan LabVIEW : Perancangan Exciter menggunakan VCO untuk peralatan


Jamming

51

Elan Djaelani

Rancang Bangun Alat Pengukur Curah Hujan (APCH) Online sebagai Sistem Monitoring
Cuaca di Kecamatan Cilengkrang,

57

Herlan, Sahrul Arif, Ferdian Yunazar, Elli A. Gojali, Ade Ramdan

Implementasi Qt Embedded Linux pada SBC Alix 3d3 sebagai Antarmuka Grafis
Stasiun Cuaca Nirkabel

63

Ana Heryana, Sahrul Arif, Lintang Dwi Febridiani

| Seminar Nasional Embedded System, Bandung 20 September 2012

Implementasi dan Unjuk Kinerja Penggunaan Routerboard R52 untuk Komunikasi Data
Wireless Weather Station pada Daerah Sub-Urban di Atas 5 Km

69

Ferdian Yunazar, Efendi Zaenudin, Suyoto

Sistem Akuisisi Data Berbasis Mikrokontroler ATM Mega 128 untuk Stasiun Cuaca

75

Oka Mahendra, Bambang Sugiarto

Pembangkitan Kunci Rahasia dengan Deffie-Hellman Key Exchage pada Jaringan


Sensor Nirkabel Zigbee
Rifki Sadikin, Sahrul Arif

vi

| Seminar Nasional Embedded System, Bandung 20 September 2012

81

Ekstraksi Ciri Pada Pengenalan Sistem Isyarat Bahasa Indonesia Berbasis


Sensor Flex Dan Accelerometer
Mohammad Iqbal

Endang Supriyati

Teknik Elektro, Universitas Muria Kudus


iqbal.umk@gmail.com

Teknik Informatika, Universitas Muria Kudus


esupriyati@gmail.com

Abstract
Feature extraction is performed to obtain quantities that show the object specificity to identify. The good
feature extraction algorithm makes the classification process more effective and efficient. In this research, five types
of feature extraction are developed, using statistical approach, quantization or combination of both. Sensors are
used to make gloves, i.e. flex sensors to measure finger bending and accelerometer to measure movement in the x, y,
z axes. From these sensor data, the feature extraction is made. The obtained feature vector is used for sign
recognition by applying Dynamic Time Warping method (DTW) and Euclidean Distance. Reference data (template)
that the best matches the distance measured by the most minimum value (distance). Tests carried out using a dataset
with 1000 data consists of 50 classes (word sign), where each class composed of 20 data. The test data using 10
data for each class, and the reference data using the rest i.e. 10 data for each class. The test results show that the
achieved highest accuracy are 99.6%.
Keywords: sign language, flex sensor, accelerometer, feature extraction, DTW, euclidean distance

Abstrak
Ekstraksi ciri dilakukan untuk mendapatkan besaran-besaran yang menunjukkan kekhususan objek yang
ingin dikenali. Algoritma ekstraksi ciri yang baik membuat proses klasifikasi lebih efektif dan efisien. Dalam
penelitian ini dikembangkan 5 jenis ekstraksi ciri, yang menggunakan pendekatan statistik, kuantisasi atau
kombinasi keduanya. Sensor yang digunakan untuk membuat sarung tangan adalah flex sensor untuk mengukur
tekukan jari dan accelerometer untuk mengukur gerakan pada sumbu x,y,z. Dari data-data sensor dilakukan
ekstraksi ciri yaitu bentuk lekukan jari-jari tangan dan gerakan tangan terhadap sumbu x, y dan z. Vektor ciri yang
diperoleh digunakan untuk pengenalan isyarat dengan metode Dynamic Time Warping (DTW) dan Jaurak
Euclidian (Euclidian Distance). Data referensi (template) yang paling cocok diukur berdasarkan nilai jarak
(distance) yang paling minimum. Pengujian dengan dilakukan menggunakan dataset 1000 data yang terdiri dari 50
kelas (isyarat kata), dimana masing-masing kelas terdiri dari 20 data. Untuk data pengujian diambil 10 data untuk
tiap-tiap kelas, dan 10 sisanya sebagai data referensi. Hasil pengujian menunjukkan akurasi tertinggi mencapai
99,6%.
Kata kunci: bahasa isyarat, flex sensor, accelerometer, ekstraksi ciri

1. Pendahuluan

langsung melalui kamera yang menangkap gerakan


bahasa isyarat. Pendekatan berbasis data sensor,
dilakukan dengan menggunakan rangkaian sensor
yang terintegrasi dengan sarung tangan (glove).
Sensor ini menghasilkan besaran listrik yang terukur,
untuk mengetahui derajat tekukan jari-jari tangan
dan gerakan tangan. Sedangkan metode yang banyak
digunakan adalah HMM (Hidden Markov Model)
[6,7] dan ANN (Artificial Neural Network) [5,8,9].
Khusus untuk pengenalan bahasa isyarat
Indonesia berbasis sensor telah dilakukan penelitian
oleh Evita [9] dengan menggunakan metode ANN,
dimana data-data yang diolah, diperoleh dari sensor
flex, yang meliputi informasi lelukan jari-jari tangan,
lekukan pergelangan, lekukan lengan dan lekukan
bahu. Pada makalah ini diusulkan metode Dynamic
Time Warping (DTW) untuk pengenalan bahasa
isyarat Indonesia berbasis sensor. Pada penelitian ini,
selain digunakan sensor flex untuk mengetahui
informasi bentuk tangan oleh lekukan jari-jari, juga
digunakan sensor accelerometer untuk mendapatkan

Bahasa isyarat Indonesia merupakan alat


komunikasi yang utama bagi penyandang tuna rungu
dan tuna wicara di Indonesia. Penyandang tuna
rungu/wicara menggunakan penerjemah ataupun
tulisan untuk berkomunikasi dengan orang normal.
Akan tetapi penerjemah sering mempunyai tarif yang
mahal, demikian pula resiko ketergantungan dan
kehilangan privasi.
Kemajuan di bidang pengenalan pola (pattern
recognition) memberi harapan untuk otomatisasi
sistem penerjemah gerak isyarat, meskipun banyak
kesulitan yang dihadapi sebelum sistem tersebut
menjadi kenyataan.
Kategori penelitian yang dilakukan, dapat
dibedakan menjadi dua yaitu pendekatan berbasis
visi komputer (computer vision) [5,6] dan
pendekatan berbasis data sensor, [7,8,9]. Pada
pendekatan berbasis visi komputer digunakan file
(berkas) video yang disimpan sebelumnya atau
| Seminar Nasional Embedded System, Bandung 20 September 2012

informasi gerakan tangan. DTW merupakan teknik


penyelarasan (aligment) data yang bersifat sekuensial
(time series). Teknik DTW yang secara umum telah
digunakan pada pengenalan suara, tetapi dalam
perkembangannya, DTW juga telah diterapkan untuk
aplikasi lain, diantaranya untuk pengenalan gerak
isyarat (gesture)[1][3], data mining [4] dan verfikasi
tanda tangan[2].

kemiringan (tilt) dan gerakan (percepatan) pada tiga


sumbu x,y,z dengan jangkauan pengukuran
maksimal 3g (1=9.81m/s2), non-linearity 2%
dan sensitivity 333 mV/g pada tegangan 3 Volt[9].
Sarung tangan bersensor yang digunakan untuk
akuisisi data dapat dilihat pada Gambar 3.

2. Sistem Isyarat Bahasa Indonesia


Bahasa isyarat isyarat Indonesia juga dikenal
dengan istilah SIBI (Sistem Isyarat Bahasa
Indonesia). Komponen isyarat yang utama adalah
bentukan jari-jari tangan dan gerakan tangan. Pada
sebagian besar isyarat kata, gerakan tangan lebih
dominan dan bervariasi dibandingkan dengan
bentukan jari-jari tangan. Pada penelitian Evita[9]
yang hanya menggunakan sensor flex, akurasi
pengenalannya turun drastis dari 83,18% untuk
isyarat kata yang statis menjadi 49,58% untuk
isyarat kata yang bergerak.
Dalam penelitian ini, pengenalan ditujukan untuk
isyarat kata bahasa Indonesia, dengan penambahan
jenis sensor lain. Dua informasi komponen utama
isyarat kata diukur dengan penggunaan sensor flex
dan sensor accelerometer yang diintegrasikan dalam
bentuk sarung tangan sebagai piranti akusisi data.
Gambar 1 menunjukkan diagram blok system
pengenalan bahasa isyarat Indonesia .

(a)

(b)

Gambar 2. Sensor yang digunakan. Sensor flex (a),


Accelerator (b).

Gambar 3. Sarung tangan bersensor

4. Akuisisi Data
Tahap ini ditujukan untuk mendapatkan data-data
dari sensor untuk kemudian diolah menjadi vektor
fitur (feature vector), sebagaimana diperlihatkan
Gambar 4.
Akusisi
Data Sensor

Gambar 1. Diagram blok sistem pengenalan bahasa


isyarat Indonesia

3. Sensor
Sensor yang digunakan adalah sensor flex dan
sensor accelerometer. Bentuk fisik sensor flex dan
sensor accelerometer diperlihatkan pada Gambar 2.
3.1 Sensor Flex
Sensor flex adalah jenis sensor resisif yang
berubah nilai resistansinya ketika ada lekukan. Pada
kondisi flat (tidak tertekuk), sensor flex mempunyai
nilai resistansi 10 K. Nilai resistansinya akan
semakin membesar pada saat terjadi tekukan sampai
dengan 40 K pada saat tekukan maksimal[10].
Karena jari hanya mempunyai satu derajat
kebebasan, maka untuk tiap-tiap jari cukup
menggunakan satu sensor flex.
3.2 Sensor Accelerometer
Sedangkan sensor accelerometer yang digunakan
adalah Hitachi H48C [12] yang merupakan modul
sensor buatan Parallax diintegrasi dengan ADC
(Analog to Digital Converter) dan regulator
tegangan.
Accelerometer
dapat
mendeteksi
2

Pengolahan
Data Sensor
(Ekstraksi Fitur)

Vektor Fitur:
O1 O2 O3 OT

Gambar 4. Blok diagram akusisi data

Data-data yang diperoleh dari sensor adalah


sebanyak 8 jenis data. Data-data tersebut sebagai
berikut:
a. derajat tekukan jari jempol
b. derajat tekukan jari telunjuk
c. derajat tekukan jari tengah
d. derajat tekukan jari kelingking
e. derajat tekukan jari manis
f. gerakan tangan terhadap sumbu x,y,z
Kelima data lekukan jari telah dinormalisasi
untuk menyamakan perbedaan nilai toleransi dari di
antara sensor-sensor flex yang digunakan. Besaran
lekukan jari dinormalisasi[16] pada range nilai 0
sampai 20 menggunakan persamaan 1 sebelum
disimpan dalam file dataset.

X norm

X i X min
* 20
X min X max

(1)

Sedangkan untuk besaran akselarasi masih berupa


data counting, yang diambil 8-bit MSB (Most

| Seminar Nasional Embedded System, Bandung 20 September 2012

Significant Bit) dari 12 bit data counter-nya. Untuk


mendapatkan nilai akselerasi yang sebenarnya
diperlukan perhitungan khusus yang dijelaskan pada
bagian ekstraksi ciri. Contoh data gerak isyarat kata
abang yang tersimpan pada dalam bentuk file
dengam format teks (ibi) pada proses akusisi data
diperlihatkan pada tabel 1. Data yang tersimpan
adalah data sekuensial, dengan panjang (baris) yang
berbeda yang bergantung jenis isyarat kata dan
kecepatan gerakan pada saat melakukan isyarat. Pada
contoh tabel 1, panjang data isyarat kata adik
adalah 11.
Kolom [15] adalah data dari sensor flex untuk
lekukan jari jempol sampai dengan jari kelingking,
sedangkan [69] adalah data dari sensor
accelerometer untuk sumbu X, Y, Z dan referensi.
Baris
[1..11]
menunjukkan
urutan
data
diambil/disimpan, yaitu dari awal gerakan isyarat
satu kata sampai dengan selesai gerakan isyarat kata
tersebut.
Tabel 1. Contoh data sekuensial isyarat kata
adik

Gerak isyarat kata bahasa Indonesia yang


dilakukan mengacu pada video gerak isyarat yang
terdapat pada [11] [13]yang merupakan visualisasi
dari kamus sistem isyarat bahasa Indonesia.

5. Metode Pengenalan SIBI


5.1 Jarak Euclidean
Jarak Euclidean adalah metode yang paling umum
digunankan untuk banyak penerapan untuk mencari
derajat kemiripan (similiarity) antara dua data
sekuensial (time series). Derajat kemiripan
berdasarkan Jarak Euclidean dihitung menggunakan
persamaan 2.

d Euclidean( P, Q)

(p q )
i 1

memetakan elemen Q terhadap elemen Q untuk


mendapatkan derajat kemiripan dari dua sekuensial
yang memiliki panjang berbeda.
5.2 DTW (Dinamic Time Warping)
Gerak isyarat merupakan data sekuensial. Tiap
elemen data sekuensial diukur dan disimpan pada
satu periode waktu yang tetap antara elemen satu
dengan yang berikutnya. Salah satu metode untuk
membandingkan dua data sekunesial dengan panjang
yang berbeda adalah dengan algoritma Dynamic
Time Warping (DTW). Penjelasan algoritma DTW
secara lengkap dapat ditemukan pada [13].
DTW merupakan algoritma yang digunakan untuk
mengukur kemiripan antara dua sekuensial dengan
durasi (panjang) berbeda. DTW mencocokkan dua
sekuensial dengan menghitung tranformasi temporal
sehingga keduanya dapat diselaraskan (aligned).
Penyelarasan (alignment) adalah optimal jika
terukur jarak kumulatif terkecil antara dua sampel
yang telah diselaraskan.
Jika diasumsikan terdapat dua data sekuensial, Q
dan C, dengan panjang masing-masing n dan m
dengan
Q = q1, q2, ..., qi, ..., qn
(3)
C = c1, c2, ..., cj, ...., cm
(4)
Maka untuk menyelaraskan (align) kedua sekuensial
tersebut mengunakan DTW, dibentuk matriks m x n
dengan elemen matriks (i,j) berupa nilai jarak d(qi,cj)
antara dua titik qi dan, yaitu d(qi,cj) = (qi cj)2. Setiap
elemen matriks (i,j) berhubungan dengan
penyelarasan (alignment) antara titik qi dan cj
sebagaimana ditunjukkan pada Gambar 2.8.
Warping path W merupakan sekelompok elemen
matriks yang berdampingan yang mendefinisikan
pemetaan antara Q dan C. Elemen ke-k dari W
dirumuskan sebagai wk = (i,j)k, sehingga
W = w1, w2, ..., wk, ..., wK
dengan: max(m,n) K < m+n 1

Sedangkan path didefinisikan sebagai jarak


kumulatif D(i,j) yaitu jarak d(qi,cj) untuk elemen
tersebut ditambah dengan minimum dari jarak
kumulatif dari elemen bertetanggaan (adjacent).
D(i,j) = d(qi,cj) +min{D(i-1,j-1),D(i-1,j),D(i,j-1)}
(6)
Setelah didapatkan warping path yang optimal maka
jarak atau warping cost dihitung berdasarkan
persamaan 7.

(2)

(5)

DTW(Q,C) = min

Metode ini bisa digunakan jika kedua data


sekuensial P dan Q mempunyai panjang yang sama.
Pada kasus Q dan Q dengan panjang yang tidak
sama, maka Jarak Euclidean tidak dapat digunakan.
Oleh karena itu diperlukan metode lain yang dapat

| Seminar Nasional Embedded System, Bandung 20 September 2012

K
k 1

wk

(7)

5.3 Perbandingan DTW dan Jarak Euclidean


Ilustrasi perbandingan antara metode DTW dan
metode Jarak Euclidean pada data sekuensial
ditunjukkan pada gambar 2.9, yaitu untuk data
sekuensial dengan panjang sama dan panjang
berbeda. Untuk panjang data sama, Jarak Euclidean
akan memetakan secara sesuai urutan indeks-nya,
sehingga dua data sekuensial yang berlainan fasa
akan dianggap tidak mirip. Sedangkan untuk panjang
data yang berbeda, Jarak Euclidean hanya
memetakan sampai dengan indeks data sekuensial
yang lebih pendek.

(a)

(b)

Gambar 5 Perbandingan DTW dan Jarak Euclidean.


Panjang data sama (a), panjang data berbeda (b).

6. Ekstraksi Ciri
Ekstraksi ciri dilakukan untuk mendapatkan
besaran-besaran yang menunjukkan kekhususan dari
data yang diolah. Ekstraksi ciri mempunyai dua
tugas yaitu mengubah parameter vektor input
menjadi vektor ciri dan/atau reduksi dimensi. Vektor
ciri merupakan nilai-nilai hasil pengolahan data-data
flex dan data accelerometer yang kemudian diatur
sedemikian rupa membentuk baris angka (nilai).
Data sensor yang dibaca dari file dibagi menjadi dua
bagian data, yaitu data sensor flex dan data
accelerometer (Tabel 1).
6.1. Perhitungan yang digunakan
6.1.1 Histogram
Histogram merupakan salah satu cara untuk
merepresentasikan data dalam bentuk distribusi
fekuensi. Histogram dapat dianggap sebagai fungsi
kerapatan probabilitas (probability density function)
diskrit. Pendekatan histogram untuk ekstraksi ciri
digunakan dalam penelitian MC Leu [4]. Dalam
penelitian ini, histogram yang digunakan adalah
terbagi 10 tingkatan nilai (sub-range) untuk tiap data
tekukan jari tangan dan 17 tingkatan nilai untuk tiap
sumbu (axis) data akselarasi telapak tangan.
6.1.2 Nilai rata-rata dan nilai simpangan baku
Pendekatan statistik sering digunakan sebagai
ukuran dalam analisa data, di antranya adalah nilai
rata-rata (mean) dan nilai simpangan baku (standard
deviation). Jika terdapat data sekuensial Xi dengan i
= 1,2,3 , n dan jika nilai rata-rata dinotasikan
sebagai u, maka nilai u dapat dihitung berdasarkan
persamaan 8.

u
4

1 n
Xi
n i 1

(8)

Sedangkan untuk nilai simpangan baku X yang


dalam hal ini dinotasikan sebagai s, maka nilai s
dapat dihitung berdasarkan persamaan 9.

1 n
( X i u )2

n i 1

(9)

6.1.3 Kuantisasi
Kuantisasi merupakan proses untuk membatasi
semua nilai yang mungkin menjadi jumlah yang
terbatas. Kuantisasi yang digunakan dalam penlitian
ini adalah kuantisasi non linier, yang dilakukan
hanya untuk data akselerasi telapak tangan.
Penggunaan kuantisasi untuk akselerasi dilakukan
pada penelitian J Liu [7].
Sebelum dilakukan proses kuantisasi, nilai
akselerasi yang sebenarnya untuk masing-masing
sumbu x, y dan z dihitung terlebih dahulu dengan
menggunakan persamaan 3.5 yang mengacu pada
datasheet -nya[5].
a = (c reff) * 0.0022 * 16
(10)
dengan
a
= nilai akselarasi yang sebenarnya
c
= nilai atau data counter akselarasi
reff = nilai atau data counter untuk referensi
Jika a sebagai notasi untuk akselarasi, maka ax1
menunjukkan nilai akselarasi untuk sumbu x untuk
data pertama (baris pertama dalam file), ay1 untuk
nilai akselarasi sumbu y data pertama, az1 untuk
nilai akselarasi sumbu z data pertama, ax2 untuk
nilai akselarasi sumbu x data kedua, dan
seterusnya.Nilai akselerasi a ini relatif kecil terhadap
nilai normalisasi lekukan jari, sehingga dilakukan
kuantisasi[17],[18] nilai akselarasi a untuk masingmasing sumbu X, Y dan Z berdasarkan Tabel 2.
(1=9.81m/s2).
Tabel 2 Kuantisasi akselerasi
akselerasi (a)

kuantisasi (k)

a > 2g

16

g < a 2g

10 < k 15

0 < a g

1 < k 10

a=0

k =0

-g a < 0

-10 k < 0

-2g a < -g

-15 k < -10

a < -2g

-16

Jika k adalah notasi untuk nilai kuantisasi


akselarasi, maka kx1 menunjukkan nilai kuantisasi
akselarasi untuk sumbu x untuk data pertama (baris
pertama), ky1 untuk nilai kuantisasi akselarasi
sumbu y data pertama, kz1 untuk nilai kuantisasi
akselarasi sumbu z data pertama, kx2 untuk nilai
kuantisasi akselarasi sumbu x data kedua, dan
seterusnya.

| Seminar Nasional Embedded System, Bandung 20 September 2012

Data sensor

6.2 Ekstraksi ciri yang digunakan


Dalam penelitian ini digunakan 5 jenis ekstraksi
ciri, yang menggunakan pendekatan statistik,
kuantisasi atau kombinasi keduanya.
Untuk
membedakan antara jenis ekstraksi ciri yang satu
dengan ekstraksi yang lain, masing-masing ekstraksi
ciri diberi tambahan label A, B, C, D dan E.
6.2.1 Ekstraksi ciri A
Ekstraksi ciri A menggunakan pendekatan
statistik yaitu histogram yang digunakan baik untuk
data tekukan jari tangan maupun untuk data
akselarasi telapak tangan. Untuk data akselarasi
telapak tangan, sebelum ditransformasikan ke bentuk
histogram maka dilakukan proses kuantisasi.
Histogram untuk tiap data tekukan jari dibagai
menjadi 10 interval (bagian) sedangkan untuk data
akselarasi telapak tangan digunakan 17 interval
untuk masing-masing sumbu x, y dan z. Proses
ekstraksi ciri A ini menghasilkan vektor ciri dengan
panjang yang sama untuk tiap sampel isyarat kata
yaitu 101. Oleh karena itu, baik metode Jarak
Euclidean maupun DTW bisa digunakan. Bagan alir
ekstraksi ciri A diperlihatkan pada Gambar 6.
6.2.2 Ekstraksi ciri B
Ekstraksi ciri B menggunakan pendekatan
statistik yaitu dengan menghitung nilai rata-rata dan
nilai simpangan baku, yang digunakan baik untuk
data tekukan jari tangan maupun untuk data
akselarasi telapak tangan. Untuk data akselarasi
telapak tangan, sebelum ditransformasikan ke bentuk
histogram maka dilakukan proses kuantisasi. Proses
ekstraksi ciri A ini menghasilkan vektor ciri dengan
panjang yang sama untuk tiap sampel isyarat kata
yaitu 16. Oleh karena itu, baik metode Jarak
Euclidean maupun DTW bisa digunakan. Bagan alir
ekstraksi ciri A diperlihatkan pada Gambar 7.
Data sensor

Data sensor Flex

Data Accelerometer

Akselarasi Aktual

ax1,ax2,ax3,,axn
ay1,ay2,ay3,,ayn
az1,az2,az3,,azn

Histogram
ha1,ha2,ha3,...,ha10
hb1,hb2,hb3,...,hb10
hc1,hc2,hc3,...,hc10
hd1,hd2,hd3,...,hd10
he1,he2,he3,...,he10

Kuantisasi
kx1,kx2,kx3,,kxn
ky1,ky2,ky3,,kyn
kz1,kz2,kz3,,kzn

Histogram
hx1,hx2,hx3,...,hx17
hy1,hy2,hy3,...,hy17
hz1,hz2,hz3,...,hz17

Vektor Ciri
ha1,ha2,ha3,...,ha10, hb1,hb2,hb3,...,hb10, ..., hx1,hx2,hx3,...,hx17, ..., hz1,hz2,hz3,...,hz17

Gambar 6 Bagan alir ekstraksi ciri A

Data sensor Flex

Data Accelerometer

Akselarasi Aktual

ax1,ax2,ax3,,axn
ay1,ay2,ay3,,ayn
az1,az2,az3,,azn

Rata-rata
ua,ub,uc,ud,ue

Kuantisasi
kx1,kx2,kx3,,kxn
ky1,ky2,ky3,,kyn
kz1,kz2,kz3,,kzn

Simpangan Baku
sa,sb,sc,sd,se

Rata-rata
ux,uy,uz

Simpangan Baku
sx,sy,sz

Vektor Ciri
ua,ub,uc,ud,ue, sa,sb,sc,sd,se, ux,uy,uz, sx,sy,sz

Gambar 7 Bagan alir ekstraksi ciri B

6.2.3 Ekstraksi ciri C


Ekstraksi ciri C menggunakan kuantisasi tetapi
hanya untuk data akselarasi telapak tangan.
Sedangkan untuk data tekukan jari tangan masih
bentuk aslinya yang terbaca pada saat proses akusisi
data. Proses ekstraksi ciri C ini menghasilkan vektor
ciri dengan panjang yang tidak sama untuk tiap
sampel isyarat kata, bahkan hal ini juga terjadi untuk
sampel isyarat kata dalam satu kelas yang sama
karena perbedaan jumlah data yang tersimpan.
Kerana memiliki panjang data yang berbeda, maka
hanya algoritma DTW bisa digunakan. Bagan alir
ekstraksi ciri C diperlihatkan pada Gambar 8.
Data sensor

Data Accelerometer

Data sensor Flex

Akselarasi Aktual

fa1,fa2,fa3,,fan
fb1,fb2,fb3,,fbn
fc1,fc2,fc3,,fcn
fd1,fd2,fd3,,fdn
fe1,fe2,fe3,,fen

ax1,ax2,ax3,,axn
ay1,ay2,ay3,,ayn
az1,az2,az3,,azn

Kuantisasi
kx1,kx2,kx3,,kxn
ky1,ky2,ky3,,kyn
kz1,kz2,kz3,,kzn

Vektor Ciri

fa1,fb1,fc1,fd1,fe1,kx1,ky1,kz1, fa2,fb2,fc2,fd2,fe2,kx2,ky2,kz2, , kxn,kxn,kxn

Gambar 8 Bagan alir ekstraksi ciri C

6.2.4 Ekstraksi ciri D


Ekstraksi ciri D menggunakan kuantisasi dan
pendekatan statistik yaitu dengan menghitung nilai
rata-rata dan nilai simpangan baku, tetapi hanya
untuk data akselarasi telapak tangan. Sedangkan
untuk data tekukan jari tangan masih bentuk aslinya
yang terbaca pada saat proses akusisi data. Proses
ekstraksi ciri D ini menghasilkan vektor ciri dengan
panjang yang tidak sama untuk tiap sampel isyarat
kata, bahkan hal ini juga terjadi untuk sampel isyarat
kata dalam satu kelas yang sama karena perbedaan
jumlah data yang tersimpan. Kerana memiliki
panjang data yang berbeda, maka hanya algoritma
DTW bisa digunakan. Bagan alir ekstraksi ciri D
diperlihatkan pada Gambar 9.

| Seminar Nasional Embedded System, Bandung 20 September 2012

bentuk grafik ditunjukkan pada Gambar 11. Panjang


data vektor ciri tersebut adalah 61.

Data sensor

Data Accelerometer

Akselarasi Aktual

ax1,ax2,ax3,,axn
ay1,ay2,ay3,,ayn
az1,az2,az3,,azn

Data sensor Flex

fa1,fa2,fa3,,fan
fb1,fb2,fb3,,fbn
fc1,fc2,fc3,,fcn
fd1,fd2,fd3,,fdn
fe1,fe2,fe3,,fen

Kuantisasi
kx1,kx2,kx3,,kxn
ky1,ky2,ky3,,kyn
kz1,kz2,kz3,,kzn

Gambar 11 Contoh grafik vektor ciri isyarat kata


kami
Rata-rata
ux,uy,uz

Simpangan Baku
sx,sy,sz

Vektor Ciri

fa1,fb1,fc1,fd1,fe1, fa2,fb2,fc2,fd2,fe2, , fan,fbn,fcn,fdn,fen, , ux,uy,uz, sx,sy,sz

Gambar 9 Bagan alir ekstraksi ciri D

6.2.5 Ekstraksi ciri E


Ekstraksi ciri E menggunakan kuantisasi tetapi
hanya untuk data akselarasi telapak tangan.
Sedangkan untuk data tekukan jari tangan digunakan
pendekatan statistik dengan menghitung nilai ratarata dan nilai simpangan baku. Proses ekstraksi ciri E
ini menghasilkan vektor ciri dengan panjang yang
tidak sama untuk tiap sampel isyarat kata, bahkan hal
ini juga terjadi untuk sampel isyarat kata dalam satu
kelas yang sama karena perbedaan jumlah data yang
tersimpan. Kerana memiliki panjang data yang
berbeda, maka hanya algoritma DTW bisa
digunakan. Bagan alir ekstraksi ciri E diperlihatkan
pada Gambar 10.

7. Uji Coba dan Pembahasan


7.1 Evaluasi Kinerja Sistem
Kinerja sistem pengenalan bahasa isyarat ini
dievaluasi menggunakan matriks kebingungan
(confusion matrix) [14]. Instrumen yang digunakan
untuk mengukur kinerja sistem adalah akurasi
(accuracy).
7.2 Pengujian Pertama Menggunakan Metode
Jarak Euclidean

Data sensor

Data sensor Flex

Data Accelerometer

Akselarasi Aktual

ax1,ax2,ax3,,axn
ay1,ay2,ay3,,ayn
az1,az2,az3,,azn

Rata-rata
ua,ub,uc,ud,ue

Simpangan Baku
sa,sb,sc,sd,se

(a)

Kuantisasi
kx1,kx2,kx3,,kxn
ky1,ky2,ky3,,kyn
kz1,kz2,kz3,,kzn

Vektor Ciri
ua,ub,uc,ud,ue, sa,sb,sc,sd,se, kx1,ky1,kz1 ,kx2,ky2,kz2, kx3,ky3,kz3, , kxn,kxn,kxn

Gambar 10 Bagan alir ekstraksi ciri E

6.3 Vektor Ciri


Vektor ciri merupakan barisan data yang
merupakan hasil dari proses ekstraksi ciri. Vektor
ciri didapatkan dengan cara menderetkan nilai-nilai
yang didapatkan dari ekstraksi ciri yang mencakup
ciri-ciri untuk tekukan jari dan akselerasi telapak
tapak. Panjang data untuk vektor ciri untuk masingmasing ekstraksi ciri adalah bergantung ekstraksi ciri
yang digunakan. Ekstraksi ciri A dan B
menghasilkan panjang data vektor ciri yang sama
untuk tiap sampel dalam data uji, sedangkan
ekstraksi C, D dan E menghasilkan panjang data
vektor ciri yang berbeda.
Contoh hasil ekstraksi ciri E untuk data isyarat
kata kami pada tabel 3.2 yang digambarkan dalam

(b)
Gambar 12. Grafik hasil pengujian pertama dengan
metode Jarak Euclidean; (a) pengaruh terhadap
akurasi; (b) pengaruh terhadap waktu pengujian

Pengujian pertama dilakukan untuk mengetahui


pengaruh jumlah sampel per kelas data referensi
terhadap akurasi dan waktu pengujian. Jumlah
sampel yang digunakan adalah 1 sampai dengan 10.
Pertama-tama dipilih 1 sampel untuk mewakili tiap
kelas dalam data referensi, kemudian dilakukan
proses pengenalan untuk semua data pengujian.
Setelah dilakukan pencatatan hasil akurasi dan waktu

| Seminar Nasional Embedded System, Bandung 20 September 2012

pengujian untuk 500 sampel data pengujian, maka


proses
pengenalan
diulangi
lagi
dengan
menggunakan yang sampel tiap kelas data referensi
yang lebih besar yaitu 1, 2, 3 sampai dengan 10.
Pengujian I menggunakan metode Jarak Euclidean
untuk membandingkan ekstraksi ciri A dan ekstraksi
ciri B. Gambar 3 menunjukkan grafik hasil pengujian
I sedangkan untuk data hasil pengujian pertama
dapat dilihat pada lampiran B.
Dari Gambar 3, dengan menggunakan metode Jarak
Euclidean, akurasi maksimal dicapai adalah 97,6%
dan waktu pengujian minimal 7,797 detik diperoleh
dengan menggunakan ekstraksi ciri B.
7.3 Pengujian Kedua Menggunakan Metode
DTW

pengujian kedua, sedangkan tabel hasil pengujian


kedua dapat dilihat pada lampiran C.
Dari Gambar 4, dengan menggunakan metode
DTW, akurasi maksimal 99,6% diperoleh dengan
menggunakan ekstraksi ciri E, sedangkan untuk
waktu pengujian minimal 25.39 detik diperoleh
dengan menggunakan ekstraksi ciri B. Gambar 4 (b)
yang menunjukkan perbandingan waktu pengujian
juga menunjukkan perbandingan panjang vektor ciri
yang merupakan hasil ekstraksi ciri. Panjang vektor
ciri yang paling panjang adalah vektor ciri A,
sedangkan yang paling pendek adalah vektor ciri B.
7.4 Perbandingan Metode Sistem Pengenal dan
Ekstraksi Ciri
Berdasarkan tabel hasil pengujian pertama dan
tabel hasil pengujian kedua pada lampiran B dan
lampiran C, maka untuk penggunaan sampel per
kelas data referensi terbesar yaitu 10, dapat dibuat
tabel perbandingan akurasi dan waktu pengujian
untuk masing-masing metode dan ekstraksi ciri yang
digunakan sebagaimana ditunjukkan pada Tabel 3.
Tabel 3. Perbandingan metode sistem pengenal dan
ekstraksi ciri

(a)

(b)
Gambar 13. Grafik hasil pengujian pertama dengan
metode DTW; (a) pengaruh terhadap akurasi; (b)
pengaruh terhadap waktu pengujian

Pengujian kedua dilakukan untuk mengetahui


pengaruh jumlah sampel per kelas data referensi
terhadap akurasi dan waktu pengujian. Jumlah
sampel yang digunakan adalah 1 sampai dengan 10.
Pertama-tama dipilih 1 sampel untuk mewakili tiap
kelas dalam data referensi, kemudian dilakukan
proses pengenalan untuk semua data pengujian.
Setelah dilakukan pencatatan hasil akurasi dan waktu
pengujian, maka proses pengenalan diulangi lagi
dengan menggunakan yang sampel tiap kelas data
referensi yang lebih besar yaitu 2, 3, 4 sampai
dengan 10. Pengujian kedua menggunakan metode
DTW untuk membandingkan ekstraksi ciri A, B, C,
D dan E. Gambar 4.2 menunjukkan grafik hasil

Tabel 3 menunjukkan akurasi tertinggi 99,6%


dicapai dengan menggunakan metode DTW dan
ekstraksi ciri E, yang menggabungkan pendekatan
statistik dan kuantisasi. Perubahan akselarasi telepak
tangan yang lebih kompleks dari perubahan tekukan
jari selama gerak isyarat kata lebih tepat
menggunakan kuantisasi dari pada pendekatan
statistik dengan nilai rata-rata dan simpangan baku.
Karena dengan pendekatan statistik, informasi urutan
perubahan akselarasi telapak tangan akan hilang.
Sedangkan waktu pengujian terkecil adalah 7,797
detik yang dicapai menggunakan metode Jarak
Euclidean dan ekstraksi ciri B. Ekstraksi ciri B
menggunakan pendekatan statistik dengan nilai ratarata dan simpangan baku menghasilkan vektor ciri
dengan panjang terpendek yaitu 16. Selain itu, waktu
komputasi Jarak Euclidean lebih cepat dari metode
DTW sebagaimana penjelesan sub bab sebelumnya.
Nilai akurasi 99,6% dimungkinkan untuk dicapai
karena menggunakan data yang diambil dalam
jangka waktu yang pendek antara data pengujian dan
data referensi, yaitu dalam satu hari secara berurutan,
sehingga perbedaan data gerak isyarat kata dalam
satu kelas akan relatif kecil. Untuk mendapatkan
ukuran kinerja sistem pengenal dengan metode DTW
dan ekstraksi ciri E berdasarkan nilai akurasinya,
maka dilakukan pengujian keempat untuk
mendapatkan nilai akurasi rata-rata, yaitu dengan

| Seminar Nasional Embedded System, Bandung 20 September 2012

menggunakan teknik validasi 3-fold cross validation


dan dengan menambahkan 10 sampel baru untuk
masing-masing kelasnya.
8. Kesimpulan dan Saran
8.1 Kesimpulan
1. Penelitian
ini
mengembangkan
sistem
pengenalan bahasa isyarat Indonesia berbasis
sensor flex dan accelerometer untuk mengenali
50 isyarat kata (kelas) SIBI (Sistem Isyarat
Bahasa Indonesia).
2. Hasil akurasi optimal diperoleh dengan
menggunakan metode Dynamic Time Warping
(DTW) dengan ekstraksi ciri dengan
pendekatan statistik dan kuantisasi.
8.2 Saran
Penelitian ini merupakan tahap awal untuk
pengembangan penelitian selanjutnya. Dalam
peneltian ini masih terbatas pada penggunaaan satu
tangan dalam melakukan isyarat dengan jumlah data
yang digunakan masih terbatas 50 isyarat kata dan
sensor yang digunakan hanya mendeteksi perubahan
lekukan jari dan akselarasi telapak tangan terhadap
sumbu x, y dan z.
Beberapa pengembangan
penelitian tentang pengenalan bahasa isyarat
Indonesia berbasis sensor antara lain:
a. Penggunaan metode lain yang dengan akurasi
tinggi, tetapi dengan waktu pengujian yang lebih
cepat. Meskipun dengan metode DTW diperoleh
akurasi tinggi, tetapi waktu pengujian akan
semakin lama jika jumlah referensi yang
digunakan semakin besar.
b. Penambahan sensor yang digunakan, yaitu
1. sensor
untuk
mengetahui
adanya
renggangan antar jari-jari tangan
2. sensor accelerometer untuk mengetahui
akselarasi lengan, yang juga bisa digunakan
sebagai deteksi start dan stop yang
menentukan awal dan akhir gerakan.
c. Penggunaan dua tangan
d. Penggunaan jumlah data uji dan jumlah kelas
yang lebih besar
e. Pemisahan isyarat kata pada rangkaian isyarat
kata yang membentuk kalimat.
f. Penerapan dalam bentuk prototipe alat bantu
penerjemah.

9. Daftar pustaka
[1] Ahmad Akl, Shakrokh Valaee (2010).
Accelerometer-Based Gesture Recognition via
Dynamic-Time Warping, Affinity Propagation &
Compressive Sensing. IEEE ICASSP. pp22702273
[2] A. Piyush Shanker, A.N. Rajagopalan (2007).
Offline signature verification using DTW.
Pattern Recognition Letters 28, pp. 14071414
[3] Eamonn Keogh (2002). Exact indexing of
dynamic time warping. Proceedings of the 28th
VLDB Conference, Hong Kong, China
[4] Eamonn J. Keogh, Michael J. (2000). Scaling up
8

Dynamic Time Warping for Datamining


Applications. ACM. pp.285-289
[5] Y.-H.Lee, C.-Y.Tsai, (2009), Taiwan sign
language (TSL) recognition based on 3D data
and neural networks, Expert Systems with
Applications 36, pp. 11231128
[6] M.AL-Rousan et al., (2009), Video-based
signer-independent Arabic sign language
recognition using hidden Markov models,
Applied Soft Computing 9, pp. 990999.
[7] W.Gaoetal, (2004), A Chinese sign language
recognition system based on SOFM/SRN/HMM,
Pattern Recognition 37, pp. 23892402
[8] C.Oz, M.C.Leu, (2007), Linguistic properties
based on American Sign Language isolated
word recognition with artificial neural networks
using a sensory glove and motion tracker, Neuro
computing 70, pp. 28912901
[9] Evita Tunjung Sekar (2001), Perancangan dan
Implementasi Prototipe Sistem Pengenalan
Bahasa Isyarat. Tesis Magister ITB. Bandung.
[10] Spectra Symbol, Flex Sensor FS
[11] http://www.i-chat.web.id
[12] Parallax Inc (2007), Hitachi H48C 3-Axis
Accelerometer Module (#28026) Rev 1.2
[13] Departemen Pendidikan dan Kebudayaan,
1995, Kamus Sistem Isyarat Bahasa
Indonesia.
[14] Compumine. Evaluating a classification
model What does precision and recall tell
me?,
http://www.compumine.com/web/public/new
sletter/20071/precision-recall
[15] J. Liu et al. (2009), uWave: Accelerometerbased personalized gesture recognition and its
applications, Pervasive and Mobile Computing
5, pp. 657-675

10. Daftar Pertanyaan


1.

Penanya: Oka Mahendra (LIPI)


Pertanyaan:
Penjelasan
mengenai
aplikasi dan manfaat penelitian dalam
kehidupan?
Jawaban: aplikasi umum pengenalan
gerak adalah untuk mendapatkan ekstraksi
ciri yang tepat yang bisa diterapkan pada
perangkat pengenalan gerak.
Pertanyaan: Apakah memungkinkan ada
pengenalan pola?
Jawaban: pengenalan isyarat merupakan
pengenalan pola, pengenalan isyarat dapat
dilakukan pada data video selain dengan
menggunakan sensor, tetapi proses
pengenalannya lebih sulit melalui
pemrosesan citra, terutama pada kendala
oklusi (occlusion)

| Seminar Nasional Embedded System, Bandung 20 September 2012

Implementasi ATMega 128 Pada Reaktor Biodiesel Oil


Mila Fauziyah1), Denda Dewatama2), Zakiyah Irfin3)
Teknik Elektronika1,2) , Teknik Kimia3) Politeknik Negeri Malang
milafauziyah@yahoo.com1), dewatama.polinema@gmail.com2), zirfin@hotmail.com3)

Abstract
Petroleum is an energy source that can not be renewed. It is encouraging to do the studies that produce
substitute energy, one biodiesel, energy to fuel diesel engines from vegetable materials, in this study using used cooking
oil. On the other hand the study was undertaken to utilize the food industry waste when disposed of directly into nature
will cause pollution. The purpose of this study was to establish a reactor that works automatically used ATMega 128
and continue in the process of esterification and trans esterification on the production of biodiesel made from used
cooking oil. Total time the overall process for 6 hours 20 minutes and total time the overall continue process for 9
hours and 40 minutes with the results of testing this biodiesel has 40.936 cst viscosity, specific gravity 0.86 g / mL and
flash point 162 0C.
Keywords: biodiesel esterification, microcontroller

Abstrak
Minyak bumi merupakan sumber energi yang tidak dapat diperbaharui. Hal ini mendorong untuk dilakukan
penelitian-penelitian yang menghasilkan energi pengganti, salah satunya biodiesel, energi untuk bahan bakar mesin
diesel dari bahan-bahan nabati, dalam hal penelitian ini menggunakan minyak jelantah. Di sisi lain penelitian ini
dilakukan untuk memanfaatkan limbah industri makanan yang apabila dibuang secara langsung ke alam akan
menimbulkan polusi. Tujuan penelitian ini adalah untuk membentuk reaktor yang bekerja secara otomatis dengan
memanfaatkan ATMega 128 dan kontinyu dalam proses esterifikasi dan trans esterifikasi pada produksi biodiesel oil
berbahan baku minyak jelantah. Total waktu satu proses keseluruhan selama 6 jam 20 menit dan untuk melakukan
proses kontinyu dibutuhkan Total waktu dua kali proses keseluruhan selama 9 jam 40 menit. Biodiesel Oil yang
dihasilkan ini memiliki viscositas 40,936 Cst, berat jenis 0,86 gr/mL dan flash point 162 0C.
Kata kunci: esterifikasi biodiesel, mikrokontroller

1. Pendahuluan
Minyak diesel atau solar adalah salah satu produk
minyak bumi yang digunakan sebagai bahan bakar
mesin, yaitu mesin diesel. Bahan bakar diesel
merupakan fraksi minyak bumi yang mendidih antara
300C-700C dan digunakan sebagai bahan bakar mesin
diesel. padahal minyak diesel sebagai produk minyak
bumi yang merupakan sumber daya yang tak
terbaharukan (unrenewiable).
Seiring dengan menipisnya cadangan minyak bumi,
perlu dicari alternatif baru untuk diversifikasikan energi
di masa depan. Banyak peneliti telah menguji
kemungkinan-kemungkinan penggunaan minyak nabati
sebagai pengganti bahan bakar baik secara langsung
maupun sebagai bahan pencampur.
Suirta melakukan penelitian dengan bahan minyak
jelantah kelapa sawit yang hasilnya memenuhi syarat
seperti yang ditetapkan oleh standar Jerman DIN 51606.
Kemudian perusahaan NANKO di Jepang membuat
mesin diesel pembangkit listrik dengan bahan bakar dari
minyak goreng jelantah yang telah disaring dan
kemudian ditambah methanol. Supranto dkk meneliti
tentang pengaruh suhu dan perbandingan pereaksi pada
pembuatan methyl ester biodiesel dari distilat asam
lemak sawit [7]. Djaeni dkk meneliti tentang penggunaan
minyak goreng bekas menjadi biodiesel dengan cara

transesterifikasi. Hasil penelitiannya menunjukkan


bahwa zeolite yang telah diaktivasi dengan asam sulfat
mempunyai kemampuan sebagai katalis dalam proses
transesterifikasi minyak nabati bekas menjadi biodiesel
[4]. Tahun 2004 Bismo meneliti prospek ozonosasi etil
ester dari minyak nabati untuk bahan bakar mesin diesel
[2]. Penelitian ini menyimpulkan bahwa reaksi ozonisasi
dapat mengubah sifat sifat dan atau karakteristik dari
ester yang berasal dari minyak minyak nabati seperti
kelapa sawit, minyak sawit, minyak kedelai dan minyak
bunga matahari.
Di Indonesia, proses produksi biodiesel belum
dilakukan secara massal dan masih dilakukan secara
manual, seperti yang dilakukan [6] yang mendesain alat
biodiesel dengan kapasitas 15 liter/hari secara manual.
Untuk mengoperasikan alat tersebut masih dibutukan
tenaga operator yang ahli, sehingga membuka peluang
terjadinya kesalahan karena faktor human error, hal ini
akan mempengaruhi hasil produksi. Oleh karena haltersebut, dan karena kemajuan teknologi khususnya
dalam ilmu elektronika semakin cepat, maka perlu
adanya usaha untuk menggabungkan kemajuan teknologi
elektronika dengan kemajuan ilmu kimia, untuk
membentuk sistem otomatisasi. Dalam penelitian ini
akan didesain sebuah sistem otomatisasi untuk
memanfaatkan limbah minyak goreng sebagai bahan
awal pembuatan biodiesel dengan sistem esterifikasi
pada rancang bangun alat biodiesel.

| Seminar Nasional Embedded Systems, Bandung 20 September 2012

2. Metode
2.1 Biodiesel
Dalam membuat biodiesel sehingga dapat
digunakan sebagai bahan bakar harus memenuhi
parameter minyak diesel atau IDO (Industrial Diesel oil)
seperti dalam Tabel 1.

Gambar 2. Reaksi Transesterifikasi Trigliserida Menjadi


Metil Ester Dengan Katalis Basa [9]

Tabel 1. Karakteristik bahan bakar diesel


Minyak
Solar*
1
Sp.gr 60/60 F
0,820-0,87
2
Density,gr/ml **
0,80-0,86
3
Kin.visco 100.F,Cs
1,6-5,8
4
Flash point oC
Min.65
*)Keputusan Direktur Jendral Minyak dan
004/DM/Migas/1979
**) density = berat jenis
No.

Karakteristik

IDO
0,84-0,92
0.83-0,90
35-45
Min.65
Gas bumi

2.3 Perancangan

No.

2.3.1 Blok Diagram


Dalam melakukan proses perancangan rangkaian
elektronik terlebih dahulu kita membuat blok diagram
dari perancangan tersebut, seperti dalam Gambar 3.

2.2 Esterifikasi dan Transesterifikasi


Proses esterefikasi adalah proses awal untuk
pembuatan
biodiesel.
Yang
berfungsi
untuk
menghilangakan gumming (getah) pada bahan baku,
serta untuk mereaksikan dengan metanol yang akan
mengahasilkan metil ester dan H2O. Sepeti pada proses
kimia dalam Gambar 2.3:

Sensor
Suhu

Kran/Valve
Cairan

Sensor
level

Motor
Pengaduk

Sensor
Jenis
Cairan

Heater

RTC

0
0
II
II
R- C-OH + CH3OHR- C-OCH3 + H2 O
Asam lemak metanol metil ester asam lemak air

Katalis asam

10

Atmega 128

LCD

Sensor
Suhu

Kran/Valve
Cairan

Sensor
level

Motor
Pengaduk

Sensor
Jenis
Cairan
Trans Esterifikasi

Gambar 1. Reaksi Esterifikasi Asam Lemak Bebas menjadi


Metil Ester dengan Katalis Asam [9]

Untuk memperoleh spesifikasi minyak jelantah


yang asli, minyak jelantah yang kasar harus dimurnikan
terlebih dahulu. Proses pemurnian ini terjadi pada sistem
esterifikasi, yang mana bertujuan untuk menghilangkan
bau yang tidak enak, mencegah timbulnya warna yang
kurang menarik, serta memperpanjang masa simpan
biodiesel sebelum diogunakan. Selain itu pemurnian
yang terjadi pada system esterfikasi bertujuan untuk
menghilangkan senyawa pengotor dalam minyak
jelantah. Senyawa pengotor ini dapat menyebabkan
kualitas biodiesel rendah yang berimplikasi pada
kerusakan mesin.
Proses Transesterifikasi adalah proses lanjutan
dari proses esterifikasi untuk pembuaatan biodiesel yang
berfungsi untuk menghilangkan gliserol pada bahan
baku, serta untuk mereaksikan dengan metanol yang
akan menghasilkan metil ester dan H2O. Proses
transesterifikasi ini dibagi menjadi mejadi 2 tahap yakni
proses pemisahan gliserol dan pemisahan asam lemak
bebas. Degliserolisasi dilakukan dengan menambah
metanol dan NaOH kedalam crude methyl ester asam
lemak lalu memanaskanya hingga membentuk senyawa
fosfolipit yang lebih muda terpisah dari minyak, yang
menghasilkan gliserol dan crude methyl ester asam
lemak.

Esterifikasi

Esterifikasi

Heater
Trans Esterifikasi

Gambar 3. Blok Diagram Rangkaian

Keterangan:
a. Sensor Suhu, Sensor suhu yang digunakan adalah
LM35.
b. Sensor Jenis Cairan, Sensor yang digunakan untuk
membedakan cairan adalah optocoupler.
c. Sensor Level, Untuk aplikasi level, yang digunakan
adalah elektroda yang berupa kawat tembaga.
d. RTC, Real Time Clock (RTC) yang digunakan
adalah DS1307.
e. Sensor Buka Tutup Kran, Sensor yang digunakan
sebagai pembatas buka dan tutup kran adalah limit
switch.
f. Mikrokontroler, berfungsi sebagai pengendali dari
semua sistem.
g. LCD, untuk menampilkan proses pada sistem,
digunakan LCD. LCD yang digunakan adalah LCD
16 x 2.
h. Motor, ada dua jenis motor yang digunakan yaitu
motor pembuka, penutup kran (4 Watt) dan motor
pengaduk (75 Watt).
i. Valve, digunakan untuk mengatrur pengisian cairan
dalam tabung reaksi.
j. Heater, berfungsi sebagai pemanas dengan daya
250 W.

| Seminar Nasional Embedded Systems, Bandung 20 September 2012

12V

5V

AC

1A
K?
RELAY

Motor_AC

NO
R2
220

1K8
R3

4n25
BD139_2

OPTOISO1

R1

2.3.3 Sensor Jenis Cairan


Untuk membedakan antara kotoran hasil
pengendapan atau sisa pencucian dengan hasil proses
maka digunakan sensor cahaya berupa optocoupler
dengan rangkaian seperti pada Gambar 4.

12V

D IO D E

12V

2.3.2 Perancangan Sensor Level


Pada rangkaian level yang terdapat pada setiap
tabung cairan, yang digunakan adalah elektroda yang
berasal dari bahan tembaga, karena tembaga merupakan
penghantar yang baik dan tidak terkorosi oleh bahan
kimia pada proses esterifikasi dan transesterifikasi.
BD139_1

Port
2,2 K

Gambar 6. Rangkaian Driver Relay

2.3.7 Perancangan Perangkat Lunak


Pembuatan
program
dilakukan
dengan
menggunakan Bascom AVR, dengan mengacu pada flow
chart dalam Gambar 7.

Port
Optocoupler
R 56

R 100 K

Gambar 4. Rangkaian Sensor Jenis Cairan

2.3.4 Real Time Clock


Karena membutuhkan proses berjam jam dalam
satu kali siklus, maka digunakan real time clock (RTC)
agar timer sesuai dengan waktu yang sesungguhnya.
Selain itu, apabila menggunakan timer pada Atmega128,
jika waktu yang diatur dalam orde jam, kemungkinan
besar kontroler akan error. Dimana RTC yang dipilih
yaitu DS1307 dengan rangkaian seperti ditunjukkan
dalam Gambar 5.
1K
1K
Port
Port

6
5
1
2

Cap. jam
4

SCL
SDA
X1
X2

5V

VCC
VBAT
SQW/OUT

8
3
7

3V
Battery

GND
DS1307

Gambar 5. Rangkaian RTC

2.3.5 Mikrokontroler ATMega 128


ATMega 128 berfungsi sebagai pengendali dari
sistem reaktor biodiesel, adapun fitur dari ATMega 128
sebagai berikut:
a. 128 Kb Flash PEROM
b. 4Kb EEPROM
c. 8 Channel 10 bit ADC
d. 2 buah 8 bit PWM
e. Programmable watchdog dan on chip oscillator
f. 53 bit I/O
2.3.6 Perancangan Driver Relay
Semua motor yang digunakan adalah motor AC,
termasuk valve juga AC. Driver relay yang digunakan
hanya berupa driver On/Off, jadi dipakai relay. Selain
relay, juga digunakan komponen berupa optoisolator
dan juga BD139. Adapun agar lebih jelas lagi, dapat
dilihat pada Gambar 6.

Gambar 7. Diagram Alir Perangkat Lunak

3. Hasil
3.1 Pengujian Bahan
Untuk mengetahui apakah alat yang dibuat dapat
menghasilkan biodiesel sesuai dengan perancangan
maka setelah sistem dapat berjalan baik di tiap
bagiannya dilakukan pengujian secara keseluruhan
dengan memasukkan bahan dasar berupa minyak
jelantah dan minyak curah. Sedang untuk mengetahui
karakteristik dari biodiesel yang dihasilkan oleh alat
konversi ini, dilakukan proses pengujian bahan.
Pengujian ini dilakukan di laboratorium kimia Politeknik
Negeri Malang yang meliputi pengujian metal ester,
viscositas, berat jenis dan juga flash point, hasil
pengujian dapat dilihat dalam Tabel 2. Sebagai
perbandingan digunakan tabel karaktersitik minyak
diesel yang dikeluarkan oleh IDO seperti Tabel 1.

| Seminar Nasional Embedded Systems, Bandung 20 September 2012

11

Tabel 2. Hasil Uji Bahan


No.

Jenis
Contoh

1.

Minyak
Curah

2.

Minyak
Jelantah

5. Daftar Pustaka

Parameter Uji

Hasil

Metil Ester
Viscositas
Berat Jenis
Flash Point
Metil Ester
Viscositas
Berat Jenis
Flash Point

Terlampir
13,62 cst
0,82 gr/mL
132 C
Terlampir
40,94 cst
0,862 gr/mL
162 C

Apabila dari Tabel 2 dengan Tabel 1 dibandingkan


maka diperoleh kesimpulan bahwa biodiesel yang
dihasilkan oleh reaktor ini telah sesuai dengan
spesifikasi dari Keputusan Direktur Jenderal Minyak dan
Gas Bumi (Tabel 1).

3.2 Pengujian Waktu Proses Esterifikasi


Pengujian
waktu
proses
esterifikasi
dan
transesterifikasi dapat dilihat dalam Tabel 4 di bawah
ini, dengan total satu kali proses 6 jam 20 menit,
sementara jika dilakukan secara kontinyu untuk dua kali
proses produksi diperlukan total waktu 9 jam 40 menit.
Tabel 3.
Waktu
Transesterifikasi

Proses

Proses
Pemanasan Minyak Jelantah
Pemanasan Minyak Jelantah dan
Pengadukan Larutan H3PO4
Pengendapan Gumming
Pengadukan Metanol
Pengeluaran hasil alkoholisasi
(Esterifikasi)
Pemanasan dan pengadukan larutan
dengan methanol + NaOH
Pengendapan Gliserol
Pembuangan Gliserol
Pencucian dengan air
Pengendapan
Distilasi
Pengeluaran hasil

4.

Esterifikasi

dan

Waktu
8-10menit
40 menit
2 jam
30 menit
5 menit
1 jam
10 menit
10 menit
10 menit
10 menit
1jam
5 menit

Kesimpulan

Berdasarkan hasil percobaan dan analisa yang


diperoleh dari Implementasi Kontroller Dalam Proses
Esterifikasi Pada Rancang Bangun Biodiesel ini dapat
ditarik kesimpulan sebagai berikut:
1. Dalam proses esterifikasi membutuhkan waktu 3 jam
40 menit.
2. Dalam proses transesterifikasi membutuhkan waktu 2
jam 40 menit.
3. Biodiesel ini memiliki viscositas 40,936 Cst, berat
jenis 0,86 gr/mL dan juga flash point 162 0C.

12

[1]. Anggraini, A.A. 2001. Prospect of Vegetable Oil


for Technical Utilization in Indonesia.
International Biodiesel Workshop. Medan.
[2]. Bismo, S., 2004, Prospek Ozonisasi Etil Ester
dari Beberapa Minyak Nabati untuk Bahan Bakar
Mesin Diesel, Prosiding Seminar Nasional
Rekayasa Kimia dan Proses Teknik Kimia, ISSN
1411-4216.
[3]. Cooper, William David. 1985. Instrumentasi
Elektronik dan Teknik Pengukuran. Jakarta :
Erlangga
[4]. Djaeni, M., Suherman, Robyansah dan
Hermawan H., 2004, Transesterifikasi Minyak
Nabati Bekas Menjadi Biodiesel Menggunakan
Katalis Zeolite, Prosiding Seminar Nasional
Kejuangan Teknik Kimia, ISSN 1693-4393, UPN
Veteran. Yogyakarta.
[5]. Malvino, Albert Paul. 1987. Prinsip-Prinsip
Elektronika Edisi Kedua. Terjemahan:Hanafi
Gunawan. Jakarta : Erlangga.
[6]. Suharyono.
2007.
Perencanaan
Sistem
Esterifikasi pada Rancang Bangun ALat
Biodiesel dengan Kapasitas 15 Liter/Hari.
Universitas Negeri Surabaya. Tugas Akhir.
[7]. Supranto, Suhardi dan Purnomo, 2003,
Rancangan Proses Produksi Biodiesel Bahan
Bakar Mesin Diesel dari Limbah Proses
Pengolahan Minyak Goreng Berbasis Crude Palm
Oil, ProsidingSeminar Nasional Rekayasa
Kimia dan Proses Teknik Kimia, ISSN 14114216, Uneversitas Diponegoro Semarang.
[8]. Widayat, Luqman Buchori. 2009. Pembuatan
Biodiesel dari Minyak Goreng Bekas dengan
Proses Catalytic Cracking. Prosiding Seminar
Nasional Teknik Kimiua Indonesia. ISBN 978979-98300-1-2
Universitas
Diponegoro
Semarang.
[9]. http://chemicalengineer.digitalzones.com/biodiesel.html,
diunduh tanggal 27 Desember 2011.

6. Daftar Pertanyaan
1.

2.

| Seminar Nasional Embedded Systems, Bandung 20 September 2012

Penanya:
Panuksma
Wiku
Hendro
(Indomaret)
Pertanyaan: Apa kelebihan ATMega ver.
128 dan berapa pin?
Jawaban: jumlah pinnya sedikit cukup 1
Pertanyaan: Perbedaan speed?
Jawaban: tidak ada
Penanya:Benny (PT CSL)
Pertanyaan: Sensornya apa saja?
Jawaban: suhu, level, jenis cairan

Otomasi Mesin Pemotong Kayu Berbasis PLD Menggunakan VHDL


Supriatna Adhisuwignjo1), Ratna Ika Putri2), Sungkono3)
Prodi Teknik Elektronika Politeknik Negeri Malang
supriatna_s@yahoo.com1), ikaputri_ratna@yahoo.com2), caksung@yahoo.com3)

Abstract
There are many small wood industry that still use manual wood cut machine so it need long time process and
produce an unaccurate product. So, it need to make an automation wood cut machine in order to the process can be
more fast and the product become better and more accurate. The purpose of this ressearch is to design automation of
wood cut machine using PLD (Programmable Logic Device) as controller and apply programming language VHDL
(VHSIC Hardware Description Language) so the circuit become more simple and brief. The research step consist of
investigate literature, mechanic design, hardware design include design of IC PAL CE22V10 controller, measurement
sensor using infrared, design buzzsaw moving sensor using limit switch and design driver DC motor for conveyor,
buzzsaw and side clamping. After that, design software using VHDL. From the result of simulation using ACTIVE
HDL-Sim and the system test can be stated that system can work well appropriate with planning.
Keywords: VHDL, PLD, Otomation, Wood Cut Machine.

Abstrak
Banyak industri perkayuan usaha kecil yang masih menggunakan mesin pemotong manual yang prosesnya
membutuhkan waktu lama dan sering hasilnya kurang akurat. Untuk itu diperlukan otomasi mesin pemotong kayu
sehingga prosesnya bisa lebih cepat dan hasilnya bisa lebih baik dan akurat. Tujuan penelitian ini adalah untuk
merancang otomasi mesin pemotong kayu dengan menggunakan PLD (Programmable Logic Device) sebagai
pengontrol serta dengan mengaplikasikan bahasa pemrograman VHDL (VHSIC Hardware Description Language)
sehingga rangkaian dapat dibuat lebih sederhana dan ringkas. Langkah penelitian meliputi studi pustaka,
perencanaan mekanik, pembuatan perangkat keras yang meliputi pembuatan pengontrol menggunakan IC PAL
CE22V10, membuat sensor ukuran menggunakan sensor infra merah, membuat sensor gerak gergaji yang
menggunakan limit switch dan membuat rangkaian penggerak motor DC untuk konveyor, penggerak gergaji dan
penjepit samping. Setelah itu dilanjutkan dengan merencanakan perangkat lunak menggunakan VHDL. Dari hasil
simulasi dengan menggunakan ACTIVE HDL-Sim dan pengujian sistem otomasi diperoleh hasil bahwa sistem telah
bekerja sesuai dengan yang direncanakan.
Kata kunci: VHDL, PLD, Otomasi, Mesin Pemotong Kayu.

1. Pendahuluan
Di Indonesia, kayu merupakan sumber daya hasil
hutan yang banyak diminati dan dikelola oleh pengusaha
besar maupun usaha kecil menengah dalam bentuk
industri kayu lapis, industri penggergajian, industri
moulding dan bahan bangunan serta industri mebel.
Industri kayu olahan umumnya dikelola oleh usaha kecil
menengah dengan proses produksi dan teknologi yang
sederhana. Usaha kecil menengah perkayuan ini
mempunyai prospek jangka panjang karena produk
mereka selalu dibutuhkan oleh masyarakat luas dan
bahan
bakunya
bisa
diperbaharui.
Sehingga
pengembangan industri pengolahan kayu dapat
diarahkan kepada industri yang memiliki nilai tambah
yang tinggi serta tingkat teknologinya telah dikuasai
dengan baik.
Dengan dukungan teknologi selama proses
pengolahan, diharapkan akan terjadi peningkatan
kualitas produk sesuai dengan permintaan dan harapan
pelanggan. Salah satu elemen penting dalam proses
pengolahan kayu di industri perkayuan usaha kecil
menengah adalah proses pemotongan kayu. Banyak
industri penggergajian dan industri kerajinan perkayuan
usaha kecil menengah yang masih menggunakan mesin
pemotong manual yang prosesnya membutuhkan waktu
lama dan sering hasilnya kurang akurat. Untuk itu

diperlukan otomasi mesin pemotong kayu sehingga


proses pengerjaannya bisa lebih cepat dan hasilnya bisa
lebih baik dan akurat dengan ukuran panjang kayu yang
dapat disetting sesuai dengan kebutuhan. Dalam hal ini
teknologi yang digunakan untuk otomasi dengan
menggunakan rangkaian terintegrasi Programmable
Logic Device (PLD).
Aplikasi maksimum dari
komponen-komponen LSI (Large Scale integration),
VLSI (Very Large Scale integration)dan MSI pada
perancangan sistem mikroprosessor (microprosessor
system design), memungkinkan biaya lebih rendah dan
dengan keandalan yang lebih tinggi. Akan tetapi pada
perancangan rangkaian logika acak (random Logic
Design) selalu diperlukan penggabungan dari beberapa
komponen VLSI, MSI dan LSI. PLD merupakan salah
satu cara untuk membentuk fungsi-fungsi logika yang
kompleks. PLD dapat digunakan untuk beberapa logika
pemrograman hanya dengan menggunakan satu IC [1].
Untuk mengimplementasi logika pemrogram ke
dalam PLD dibutuhkan Teknologi VHSIC HDL (Very
High Speed Integrated Circuit Hardware Description
Language) disingkat VHDL yang digunakan sebagai
pengolah data pada alat ini karena merupakan bahasa
perangkat keras yang menyediakan format yang lengkap
dan dapat mempresentasikan fungsi secara detail, serta
dapat digunakan untuk suatu simulasi, perencanaan,
pemodelan test dan dokumentasi dari suatu system.

| Seminar Nasional Embedded Systems, Bandung 20 September 2012

13

Untuk membuat rangkaian menjadi lebih sederhana dan


ringkas, maka dalam disain ini digunakan teknologi
HDL.

2. Dasar Teori
2.1 Mesin Pemotong Kayu.
Mesin pemotong kayu sangat dibutuhkan pada
industri-industri perkayuan. Mesin-mesin pemotongan
kayu yang dijalankan secara elektronik sangat membantu
para pekerja dalam industri kayu. Salah satu komponen
yang berperan penting pada mesin pemotongan kayu
yaitu mesin gergaji. Macam mesin gergaji dapat dibagi
menjadi dua macam yaitu mesin gergaji lingkar dan
mesin gergaji pita [2].

2.2 PLD (Programmable Logic Device)


Untuk merancang suatu rangkaian digital yang
kompak dapat dilakukan dengan menggunakan
komponen-komponen SSI dan MSI. Akan tetapi, untuk
mengurangi biaya dan waktu perancangan serta
fleksibilitas
rangkaian
yang
dirancang
perlu
dikembangkan suatu rangkaian-rangkaian logika yang
dapat diprogram (programmable logic). Aplikasi yang
maksimum komponen-komponen LSI, VLSI dan MSI
pada
perancangan
sistem
mikroprosessor
(microprosessor system design), memungkinkan biaya
lebih rendah dan dengan keandalan yang lebih tinggi.
Akan tetapi pada perancangan rangkaian logika acak
(random logic design) selalu diperlukan penggabungan
dari beberapa komponen VLSI, MSI dan LSI.
Rangkaian logika tersebut diimplementasikan dengan
gerbang logika SSI yang lebih dikenal dengan sebutan
Glue Logic. Dengan demikian untuk implementasi suatu
Glue Logic tersebut dibutuhkan beberapa rangkaian
terintegrasi. PLD merupakan salah satu cara untuk
membentuk fungsi-fungsi logika yang kompleks. Suatu
PLD berisi array dari gerbang-gerbang logika AND dan
OR yang mana masukan dari kedua gerbang tersebut
mempunyai hubungan-hubungan atau koneksi yang
dapat diprogram yang pada akhirnya akan menyebabkan
fungsi yang dibuat akan lebih khusus. Selain itu ,
beberapa PLD memiliki feedback, keluaran yang three
state, dan flip-flop. Gerbang-gerbang logika AND dan
OR pada PLD dinyatakan dengan cukup sederhana.
PLD terdiri dari beberapa jenis tergantung dari array
mana (AND atau OR) yang dapat diprogram dan array
mana yang tidak, PLD dapat dibagi menjadi tiga bagian
jenis yaitu:
1. AND dan OR dapat diprogram (Programmable
AND-programmable OR),
2. OR dapat diprogram, AND tidak dapat
diprogram (Fixed AND-programmable OR)
3. AND dapat diprogram, OR tidak dapat
diprogram (Programmable AND-fixed OR) [3].

2.3 VHDL
Pada pertengahan tahun 1990-an, industri elektronik
mengalami ledakan dalam kebutuhan akan komputer
pribadi, telepon seluler, dan piranti komunikasi data
14

kecepatan tinggi, berlomba-lomba memperebutkan


pangsa pasar, Para vendor membuat produk yang
semakin tingi fungsionalitasnya, kinerja yang lebih
bagus, harga rendah, konsumsi listrik rendah, dan ukuran
yang semakin kecil. Untuk melakukan hal ini, para
pengusaha menciptakan sisitem-sistem kompleks yang
sangat terintegrasi dengan peralatan IC yang lebih
sedikit dan area Printed Circuit Board (PCB) yang lebih
kecil. Baik High Density Programmable Logic Devices
(PLDs) dan VHDL menjadi elemen kunci dalam
metodologi dalam desain dan pengujian.
VHDL amat sesuai untuk perancangan dengan piranti
programmable logic. VHDL menyediakan konstruksi
bahasa level tinggi yang memungkinkan perancang
untuk menggambarkan sirkuit besar dan membawa
produk ke pasar secara cepat.
Bahasa tersebut dimaksudkan untuk digunakan
sebagai bahasa modelling yang bisa diproses dengan
software untuk tujuan-tujuan simulasi. VHDL terdiri dari
suatu simbol sederhana dan notasi yang dapat
menggantikan diagram skematik dan satu sirkuit digital
dan bisa berupa progam simulasi yang digunakan untuk
verifikasi desain atau untuk membentuk perangkat keras
secara otomatis.
Dengan perancangan VHDL yang terdiri dari
sejumlah gate-gate (gerbang) ini bisa membuat ribuan
gerbang yang mana apabila didesain dengan
menggunakan skema atau persamaan boole akan
memakan waktu yang lebih lama. Selain itu kelebihan
dari VHDL adalah :
1. Efisien dan fleksibel : VHDL adalah bahasa
yang dapat digunakan untuk menuliskan kode
diskripsi yang lebih efisien untuk mengontrol
logika sehingga bisa lebih kompleks. VHDL juga
menyediakan library design yang dapat digunakan
sebagai desain maupun simulasi.
2. Desain alat sendiri : VHDL dapat mendesain
alat tanpa harus memilih terlebih dahulu alat apa
yang
akan
didesain
tersebut
dapat
diimplementasikan, dan waktu yang ada bisa
dikonsentrasikan pada desainnya.
3. Portabilitas : Karena VHDL merupakan standar
dari setiap deskripsi desain sehingga dapat dipakai
untuk berbagai macam simulai sintesa.
4. Kemampuan berchmarking
:
VHDL bisa
mendesain alat dengan arsitektur alat maupun
sintesa yag berbeda-beda dan tidak perlu memilih
terlebih dahulu apakah menggunakan CPLD atau
FPGA.
Desain dan sintesa terlebih dahulu
dilakukan baru memilih IC yang akan digunakan.
Sehingga IC-IC yang ada bisa dibandingkan untuk
mmperoleh IC yang tepat untuk desain.
5. Perpindahan ke ASIC : Dengan tingkat efisiensi
yang dihasilkan oleh VHDL, maka setiap produk
yang dibuat bisa memiliki fungsi seperti yang
diharapkan, sehingga IC yang dibuat menjadi
spesifik.
6. Kecepatan proses dan biaya rendah
:

Dengan
menggunakan
VHDL
maka
kecepatan proses dapat ditingkatkan dan
biaya dapat ditekan. Sebuah IC PLD dapat
menggantikan banyak IC logika biasa [3].

| Seminar Nasional Embedded Systems, Bandung 20 September 2012

Sensor ukuran 1

KODE
VHDL

Driver motor 1

Gergaji

Sensor ukuran 2

COMPILER A

COMPILER B

Sensor Gergaji

Alat
Sintesa

COMPILER C

Tombol Pemilih
ukuran

PLD

CPLD

IC
PALCE22V10

Driver motor 2

Penekan atas

Driver motor 3

Penekan samping

Driver motor 4

FPGA

Konveyor

Start/Stop

Gambar. 1 Portabilitas Antar Kompiler dan Desain


Gambar 3. Blok Diagram Sistem

3. Metode Penelitian
3.1 Perancangan Mekanik
Perencanaan bahan mekanik alat ini digunakan bahan
pelat besi karena bahannya ringan dan cukup kuat untuk
memenuhi perencanaan alat. Perencanaan dimensi alat
adalah panjang x lebar x tinggi = 120 cm x 40 cm x 50
cm. Perancangan mekanik mesin yang dibuat
ditunjukkan dalam Gambar 2.
4
1

9
10
15
13

Ga
m

ba

ra

lat

14

12

tam

pa

ks

am

pin

ga

tas
18
16

Gambar 2. Perancangan Mekanik

Keterangan Gambar 2 :
1. Motor konveyor 1
2. Belt konveyor 1
3. Body/kerangka
4. Sensor 1
5. Sensor 2
6. Roda belt konveyor 1
7. Gigi gergaji
8. Limit switch
9. Motor gergaji
10. Penampang motor gergaji
11. Motor pendorong/penarik (motor gergaji)
12. Bearings (klaker)
13. Gear ulir
14. Motor pendorong penjepit kayu
15. Penjepit kayu
16. Ban belt konveyor 2
17. Roda ban belt konveyor 2
18. Motor konveyor 2

3.2 Perancangan Perangkat Keras


Pada perencanaan perangkat keras pada dasarnya
terdiri dari rangkaian sensor, IC PLD CE 22V10 dan
rangkaian driver motor. Adapun blok diagram mesin
pemotong kayu dapat dilihat pada Gambar 3.

Operasional mesin pemotong kayu ini dimulai


dengan menyalakan tombol start dan memilih ukuran
panjang potongan kayu melalui keypad. Kayu yang akan
dipotong diletakkan pada konveyor. Setelah kayu
melewati sensor ukuran kayu sesuai dengan panjang
yang telah dipilih maka konveyor akan berhenti.
Penekan samping akan bergerak untuk menjepit kayu.
Gergaji potong berjalan untuk memotong kayu hingga
menyentuh sensor gerak gergaji yang akan menyebabkan
gergaji kembali ke posisi awal. Penekan samping
kembali ke posisi semula Konveyor kembali berjalan
dan potongan kayu akan terbawa ke penampungan.
Proses pemotongan berlanjut dan berulang kembali.
Dalam perancangan ini digunakan sensor infra merah
sebagai pemberi sinyal masukan untuk masing-masing
ukuran panjang kayu yang diberikan. Sensor ini dipilih
karena harga relatif murah dan banyak di pasaran serta
memenuhi kriteria kebutuhan untuk memberi masukan
ke PLD tentang ukuran panjang kayu yang akan
dipotong. Rangkaian sensor yang digunakan ditunjukkan
dalam Gambar 4.

Gambar 4. Rangkaian Sensor

Pada perancangan rangkaian driver motor digunakan


transistor. Pengaktifan driver ini berasal dari IC PLD
sebagai pengontrol. Untuk mengaktifkan motor, IC PLD
mengeluarkan data keluaran berlogika 1. Rangkaian
driver motor ditunjukkan oleh gambar 5.
Ketika IC PAL CE22V10 memberikan data keluaran
berupa logika 1 maka optocoupler akan aktif, maka
tegangan Ve pada optocoupler akan berlogika 1 dan
memberikan bias maju pada TR1. Saat TR1 aktif dan
VCE berlogika 0, akan mengaktifkan transistor 2. Hal
ini disebabkan tegangan kolektor pada transistor 1
diumpankan pada basis transistor 2. Karena tegangan
basis transistor 2 lebih negatif daripada tegangan emiter
maka transistor 2 akan aktif dan motor akan bekerja

| Seminar Nasional Embedded Systems, Bandung 20 September 2012

15

5V

CY7C3
41

1K

330
n

Rb
TR1

TR2

Gambar 5. Rangkaian Driver Motor

3.3 Perancangan Program.


Perancangan program pada otomasi mesin potong
kayu ini berdasarkan pada suatu metode, yaitu metode
bahavioral. Pada metode bahavioral ini akan lebih
mengkhususkan pada bagian masukan dan keluaran
dalam mewujudkan sistem atau program yang dibuat.
Metode behavioral ini membentuk program berdasarkan
keadaan (state) tiap langkah sistem, berupa semua
masukan yang bekerja untuk menghasilkan suatu
keluaran yang khusus akibat semua masukannya
tersebut.Untuk pemrograman VHDL menggunakan
compiler Warp 5.2 [4].
Hal yang penting untuk diketahui dalam metode ini
adalah cara kerja dari sistem atau komponen yang akan
dibuatkan program kendalinya.
Untuk selanjutnya
compiler Warp 5.2 akan membentuk dan menghasilkan
JEDEC file untuk selanjutnya dimasukkan (transfer) ke
chip (IC) yang digunakan. Program yang digunakan
adalah sebagai berikut :
LIBRARY ieee;
USE ieee.std_logic_1164.all;
ENTITY KYU_X IS
PORT
(CLK,RESET,sensor_saw,sensor_side,sensor_u
pdn, pb1,pb2,inf1,inf2: IN std_logic;
saw,side_dir,updn_dir,
conv
:
OUT
std_logic);
END;
ARCHITECTURE BEHAVIOR OF KYU_X IS
TYPE
type_sreg
IS
(go_side,go_updn,hold,saw_run);
SIGNAL sreg, next_sreg : type_sreg;
signal x,y:std_logic;
BEGIN
x<=(inf1 and pb1);
y<=(inf2 and pb2);
conv<=not(x xor y);
PROCESS (CLK, next_sreg)
BEGIN
IF CLK='1' AND CLK'event THEN
sreg <= next_sreg;
END IF;
END PROCESS;
PROCESS
(sreg,RESET,sensor_saw,sensor_side,
sensor_updn)

16

BEGIN
saw <= '0'; side_dir <= '0'; updn_dir <=
'0';
next_sreg<=go_side;
IF ( RESET='1' ) THEN
next_sreg<=hold;
saw<='0';
side_dir<='0';
updn_dir<='0';
ELSE
CASE sreg IS
WHEN go_side =>
saw<='0';
updn_dir<='0';
IF ( sensor_side='0' ) THEN
next_sreg<=hold;
side_dir<='1';
ELSE
next_sreg<=go_side;
side_dir<='1';
END IF;

Program ini apabila dikompile akan menghasilkan


file JEDEC. Sebelum diimplementasikan program yang
dibuat akan disimulasi dengan ACTIVE HDL-Sim.

4. Analisa dan Pembahasan


Program yang telah dibuat disimulasikan terlebih
dahulu dengan menggunakan ACTIVE HDL-Sim untuk
mengetahui apakah program yng dibuat telah sesuai
dengan yag diinginkan, sebelum dimasukkan ke dalam
IC PAL22V10. Pada mesin pemotong kayu ini dapat
digunakan untuk dua ukuran kayu yang dapat dipilih
sesuai dengan keinginan. Untuk setiap ukuran kayu
akan dideteksi oleh dua sensor pada setiap ujungnya.
Sehingga pada otomasi mesin pemotong kayu ini
terdapat 4 sensor untuk mendeteksi ukuran, satu sensor
posisi awal gergaji, satu sensor posisi akhir gergaji dan
satu sensor penahan kayu. Sedangkan keluaran dari
sistem ini terdiri dari motor conveyor, gergaji, motor
penggerak gergaji dan motor penahan atas. Semua
inisialisasi masukan dan keluaran ditampilkan pada
simulasi Hasil simulasi ditunjukkan pada Gambar 6.
Tabel 1. Penggunaan Pin IC PAL22V10
Pin
Kegunaan
Pin
Kegunaan
1
Clk
13
Not used
2
Sensor 1
14
Sreg_SBV1
3
Sensor 2
15
Sreg_SBV1
4
Tombol 1
16
Motor
5
Tombol 2
17
Not used
6
Sensor updn 18
Not used
7
Sensor side
19
Not used
8 Sensor gergaji 20
Not used
9
Reset
21
gergaji
10
Not used
22
Motor
11
Not used
23
Conv
12
Not used
24
Not used

| Seminar Nasional Embedded Systems, Bandung 20 September 2012

Dari hasil simulasi terlihat jika tombol start ditekan


atau clock berlogika satu maka konveyor akan berjalan
dan sensor ukuran panjang akan mendeteksi keberadaan
kayu akan dipotong. Jika kedua sensor ukuran telah
berlogika 1 yang menunjukkan ukuran panjang kayu
telah sesuai maka konveyor akan berhenti dan penahan
atas akan bergerak turun. Setelah itu maka gergaji akan
bergerak untuk memotong kayu yang telah ada.

Program
yang
telah
disimulasikan
dapat
diimplementasikan pada IC PAL22V10. Penggunaan
pin pada IC tersebut seperti yang ditunjukkan pada
gambar 7. Dengan menggunakan teknologi VHDL,
otomasi mesin pemotong kayu ini dapat bekerja dengan
baik. Perancangan sistem dengan VHDL ini dapat
didesain dengan lebih mudah dan sederhana. Hal ini
dikarenakan IC PAL22V10 dapat digunakan sebagai
pengontrol sehingga dapat mengurangi jumlah dan
macam komponen yang digunakan.

Gambar 6. Simulasi Sinyal Masukan

5. Kesimpulan
Teknologi PLD dapat digunakan untuk otomasi
mesin pemotong kayu dengan menggunakan bahasa
pemrograman VHDL. IC PAL22V10 dapat berfungsi
sebagai kontroler pada mesin pemotong kayu dengan
mendeteksi perubahan sensor dan menggerakkan motor
untuk memotong kayu. Hasil simulasi dengan HDL sim
sesuai dengan prinsip kerja alat yang dibuat.
6. Daftar Pustaka
[1] M. Irmansyah,
Gerbang Logika Berbasis
Programmable Logic Device (PLD), Elektron Vol. 1
No. 1 Edisi Juni 2009.
[2] Lerch, Ernst, Pengerjaan Kayu Secara Masinal,
Kanisius, Yogyakarta, 1987.
[3] Skahill, Kevin, VHDL for Programmable Logic,
Addison Wesley, California, 1996.
[4] Cypress, WARP VHDL Synthesis Refence, Cypress
Semiconductor, New York, 1996.

7. Daftar Pertanyaan
1.

2.

| Seminar Nasional Embedded Systems, Bandung 20 September 2012

Penanya:
Panuksma
Wiku
Hendro
(Indomaret)
Pertanyaan:
Apakah
peka
terhadap
interfensi?
Jawaban: dengan ditambahkan filter.
Pertanyaan: Apa jenis kayu yang digunakan?
Jawaban: masih prototype, balok 5 cm
Penanya: Benny (PT CSL)
Pertanyaan: Kelebihan dari segi ekonomis?
Jawaban: lebih murah, lebih sederhana
Pertanyaan:
Jumlah
transistor
yang
dibutuhkan?
Jawaban: In, out

17

18

| Seminar Nasional Embedded Systems, Bandung 20 September 2012

Penerapan Konsep Event Driven pada Perangkat Lunak Sistem Embedded


Ricky Henry Rawung

Arif Sasongko

Institut Teknologi Harapan Bangsa


ricky@ithb.ac.id

Institut Teknologi Bandung


asasongko@stei.itb.ac.id

Abstract
Embedded system is an electronic device which is a combination of hardware and software. Because it is a
combination, then the development of this system has its own challenge. Software developed for embedded system is
different from software for computer. Some of the characteristics of software for embedded system are: the software
executes specific tasks. Furthermore, it requires running on a limited resources and must be responsive. Event driven
concept is a part of software architecture technique and is commonly used in object-oriented software programming.
This concept uses events as a factor to trigger such a decision that the software only processes particular parts
according to the emerging event. This will affect the software processing speed to respond to changes and reduce the
possibility for an error to occur which is caused by a process which should not be run. System design with event driven
concept begins with describing system diagram using statechart. The study case to verify this concept is done in an
embedded system with NIOS-II single core as the processor. Prototype implementation using DE2-Standard board
(DE2-35) and NIOS-II IDE tools. This case consists of processes which are common in an event driven concept, such
as: activation event, goal setting event, handling event, and storing/saving event. The result of this research is a
software framework which applies an event driven concept.
Keywords: event driven architecture, framework embedded system

Abstrak
Embedded system adalah sebuah perangkat elektronika yang merupakan gabungan dari perangkat keras dan
perangkat lunak, karena merupakan gabungan maka pengembangan sistem ini memiliki tantangan tersendiri.
Perangkat lunak yang dikembangkan untuk embedded system berbeda dengan perangkat lunak yang dikembangkan
untuk Komputer. Karakteristik perangkat lunak untuk embedded system seperti: tugas yang spesifik, resources sistem
komputasi yang terbatas dan harus reaktif. Konsep event driven merupakan bagian dari teknik arsitektur perangkat
lunak dan biasa digunakan dalam pemrograman perangkat lunak berbasis objek. Konsep ini menggunakan event
sebagai faktor pemicu keputusan sehingga perangkat lunak hanya akan memproses bagian tertentu sesuai dengan event
yang muncul. Hal ini akan berdampak pada kecepatan perangkat lunak untuk menanggapi perubahan dan mengurangi
kemungkinan terjadi kesalahan yang diakibatkan oleh suatu proses yang harusnya tidak dijalankan. Perancangan
sistem dengan konsep event driven dimulai dengan menggambarkan diagram sistem menggunakan statechart.
Pengujian konsep event driven dilakukan pada embedded system dengan prosesor NIOS-II single core. Impelentasi
prototype menggunakan board DE2-Standart (DE2-35) dan tools NIOS-II IDE. Pengujian yang dilakukan meliputi
proses-proses yang ada dalam konsep event driven seperti: mengaktifkan event, penentuan tujuan event, penanganan
event, penyimpanan event. Hasil dari penelitian ini adalah sebuah framework perangkat lunak yang menerapkan
konsep event driven..
Kata kunci: : arsitektur event driven, framework system embedded
yang muncul dilakukan oleh proses event dispatch dan
event handler, [1].
1. Pendahuluan
Pemrograman yang menggunakan konsep event
Pengembangan perangkat lunak dengan cara
driven sudah dikembangkan sebelumnya, misalnya oleh
menuliskan secara sekuensial dan terstruktur dalam satu
Miro Samek[2]. Library pemrograman ini diberi nama
proses alur, merupakan pola pengembangan perangkat
Quantum Platform (QP). Pemrograman ini telah
lunak yang tradisional. Pola ini biasanya menggunakan
diimplementasi dalam beberapa prosesor dan sistem
bentuk standar seperti perulangan dan pemanggilan
operasi. Namun menggunakan library yang kompleks
fungsi yang bersarang. Pada penelitian ini akan
dengan penamaan fungsi tertentu dapat menimbulkan
membahas sebuah pola pengembangan perangkat lunak
kebingungan tersendiri bagi Pemrogram yang
embedded yang menggunakan suatu kejadian (event)
menggunakannya, oleh karena itu penelitian ini akan
sebagai pemicu proses tertentu, pola pengembangan ini
merancang sebuah pemrograman dengan konsep event
kenal dengan nama event driven.
driven
yang
mudah
dipahami
dengan
Event driven adalah sebuah konsep untuk
mempertimbangkan aspek pengembangan lebih lanjut ke
mengendalikan alur proses yang terjadi dalam suatu
penggunaan real time operating system (RTOS).
sistem. Alur proses akan ditentukan oleh event (kejadian
Penelitian ini bertujuan untuk menerapkan konsep
tertentu) yang muncul. Ketika ada event maka sistem
event driven yang mudah dipahami dan digunakan oleh
akan langsung menanggapinya dengan cara memberikan
Pemrogram. Selain itu, diterapkan penggunaan antrian
tanggapan tertentu, dan setelah itu proses akan kembali
untuk menampung event yang aktif dan event yang siap
pada program utama. Penanganan alur berdasarkan event
dieksekusi. Dalam hal pengembangan perangkat lunak

| Seminar Nasional Embedded Systems, Bandung 20 September 2012

19

menggunakan RTOS, maka perangkat lunak dirancang


untuk mengurangi terjadinya proses context switch. Hasil
dari penelitian ini adalah sebuah framework yang
mengandung konsep event driven.
Kontribusi
penelitian
adalah
mempercepat
pengembangan perangkat lunak embedded system
dengan menggunakan framework yang dihasilkan, selain
itu rancangan embedded system akan lebih mudah
dipahami karena kerangka framework digambarkan
dalam diagram statechart.

2. Konsep Event Driven


Software dengan konsep event driven akan berisi
beberapa bagian fungsi yang harus ada seperti fungsi
event dispatch dan fungsi event handler[3]. Event
dispatch adalah fungsi yang akan menangani proses
penyimpanan event yang aktif dan penyaluran event ke
proses event handler. Event Handler adalah fungsi yang
akan menjalankan proses sesuai dengan event yang
mucul. Dalam perkembangannya ada dua pihak yang
mengemukakan konsep event driven.

b.

Pemrosesan event berurut. Proses ini akan


memproses lebih dari satu event dalam satu
siklus proses secara sinkron.
c. Pemrosesan event kompleks. Proses ini akan
memproses lebih dari satu event dalam satu
siklus secara tidak sinkron.
Empat tingkat pemrosesan event adalah sebagai berikut
[5] :
a. Generator event. Berfungsi untuk mengaktifkan
event ketika terjadi perubahan keadaan yang
menyebabkan event.
b. Saluran event. Sarana penghubung antara generator
event dan pemrosesan event untuk mengantar event
yang aktif dari generator event ke pemrosesan
event.
c. Pemrosesan event. Memproses event yang muncul
akibat perubahan keadaan.
d. Aktifitas event driven. Tanggapan dari event yang
aktif, misalnya tanggapan dari keadaan yang
terjadi atau dapat mengaktifkan event yang lain
dalam rangka pemrosesan selanjutnya.
Gambar dari konsep event driven Patricia Saybold
Group, jenis pemrosesan event berurut ditunjukkan pada
gambar 2. Gambar ini menceritakan proses masuk dan
keluar barang yang terjadi di supermarket.

Gambar 1. Konsep event driven menurut Stephen Freg [4].

Pertama adalah konsep event driven yang


dikemukakan oleh Stephen freg. Konsep ini dibagi
menjadi tiga bagian yaitu event generator, event dispatch
dan event handler[4]. Gambar dari konsep ini
ditunjukkan pada Gambar 1. Berikut ini adalah
penjelasan masing-masing proses :
a. Event generator. Berfungsi untuk mengaktifkan
event sebagai tanggapan perubahan yang terjadi
dari luar sistem.
b. Event dispatch. Berfungsi untuk menjabarkan
(meyalurkan) setiap event yang aktif ke setiap
proses yang menggenaknya.
c. Event handler. Berfungsi untuk menangani
tanggapan dari luar sistem yang berupa event
dengan aksi (action) tertentu sesuai dengan model
sistem yang dirancang. Aksi untuk menanggapi
event yang aktif dapat berupa menjalankan proses
tertentu atau mengaktifkan event baru.
Kedua adalah konsep event driven menurut Patricia
Seybold Group. Konsep ini dibagi tiga jenis pemrosesan
event dengan masing-masing jenis memiliki empat
tingkatan. Tiga jenis pemrosesan event yaitu :
a. Pemrosesan event sederhana. Proses ini hanya
akan memproses satu event dalam satu siklus
secara sinkron.

20

Gambar 2. Konsep event driven menurut Patricia Seybold


Group (pemrosesan event berurut) [5]

Konsep event driven yang akan dirancang merupakan


gabungan dari kedua konsep sebelumnya. Dengan
mengambil ide sistem yang sederhana dari Stephen Freg
dan mengadopsi bagian yang menggunakan saluran
untuk menampung event sementara dari Patricia Seybold
Group. Rancangan konsep gabungan ini akan
diimplementasi kedalam bahasa pemrograman C dan
menggunakan
mikroprosesor
NIOS-II
sebagai
lingkungan operasi pengujian.
Selain itu framework yang dirancang akan
mengadopsi proses yang ada dalam diagram statechart
yaitu memiliki kondisi enter, do dan exit untuk setiap
state. Kondisi state digunakan untuk membedakan
proses yang sedang berlangsung dalam state yang
sedang aktif.
Rancangan framework perangkat lunak akan
mengacu pada struktur hirarki konsep event driven.
Gambar 3 menunjukkan konsep event driven dengan
struktur hirarki.

| Seminar Nasional Embedded Systems, Bandung 20 September 2012

Gambar 3. Struktur hirarki konsep event driven[6].

Fungsi dispatch pada struktur ini akan menjabarkan


menyalurkan event yang ada dalam antrian ke masingmasing fungsi event handler. Berdasarkan gambar 3,
event yang akan diproses oleh setiap event handler
dalam satu proses adalah satu event. Jika setiap fungsi
event handler diimplementasikan dalam satu task maka
setiap perpindahan task membutuhkan waktu tertentu
untuk proses context switch.
Perancangan framework dalam penelitian ini akan
mengurangi penggunaan waktu proses context switch
dengan cara menambahkan antrian di setiap proses event
handler agar dapat menampung lebih dari satu event
yang aktif untuk proses event handler.

Ada tiga struct yang digunakan yaitu struct fsm, event


dan queue. Struct fsm akan berisi informasi tentang
state yang sedang aktif, kondisi aktual dalam state dan
trace. Sementara struct event akan berisi informasi
indentitas event, jenis event dan informasi FSM yang
menggunakan event tersebut. Struct queue untuk variabel
antrian berisi informasi alamat event yang sedang aktif,
indeks antrian dan jumlah data dalam antrian. Gambar 5
adalah gambar struct dalam bentuk class yang digunakan
dalam framework.
Event yang digunakan dalam framework dibagi dalam
dua jenis yaitu event regular adalah event yang tidak
tergantung oleh proses yang lain. Dan event synchron
adalah event yang teragantung dengan proses tertentu.
Ilustrasi jenis event ditunjukkan pada gambar 6.
Diilustrasikan ada dua FSM dan ada tiga event. Event A
dan B berjenis regular dan event C adalah synchron.
Event C akan digunakan oleh FSM1 dan FSM2. Saat
event C di eksekusi oleh FSM1, event A belum bisa
dieksekusi karena FSM2 belum mengeksekusi event C.

3.1 Perancangan proses event dispatch


fsm

3. Perancangan dan Implementasi Framework

State : int
Condition : int
Trace : int

Framework adalah sebuah kerangka perangkat lunak


yang belum selesai, penambahan kode tertentu
dimungkinkan untuk membuat framework menjadi
sebuah perangkat lunak utuh. Gambar dari alur
framework yang dirancang ditunjukkan pada gambar 4.

(a) Struct fsm


queue
*qEvt [] : event
first : int
last : int
count : int
(b) Struct queue
event
evtStat : evtsignal
fsmStat : eventFsmStat
forSync[] : int
nFsm : int

Gambar 4. Alur framework event driven.

Alur framework dimulai dari lingkungan, dalam hal


ini misalnya tombol. Jika terjadi penekanan tombol maka
sistem akan mengaktifkan sebuah event. Proses untuk
mengaktifkan event dilakukan oleh proses event
generator. Event yang telah aktif disimpan dalam satu
antiran (global queue) yang menampung semua event
yang diaktifkan. Event tersebut akan disalurkan ke
masing-masing proses oleh event dispatch. Proses event
dispatch akan mendeteksi proses yang menggunakan
event tersebut kemudian menyalurkannya ke tujuan.
Event handler tersusun dari kumpulan proses yang
diimplementasikan dalam bentuk Finite State Machine
(FSM) dan antrian lokal (local queue), menampung
semua event aktif yang disalurkan oleh proses event
dispatch. Proses setiap FSM akan berlangsung sampai
semua event yang ada dalam local queue telah
digunakan. Proses dalam setiap FSM dapat berupa
eksekusi perangkat keluaran atau membangkitkan event
yang baru. Proses ini disebut aksi (action). Proses event
driven didukung oleh variabel tertentu untuk
menampung status atau informasi FSM dan event.
Variable stersebut diimplementasikan dalam bentuk
struct.

evtsignal
Id : int
Jenis : int
eventFsmStat
id : int
handlerUses : int
fsmUses : int
(c) Struct event

Gambar 5. Struct framework.

Proses event dispatch adalah proses yang akan


menyalurkan event yang aktif dari antrian global ke
antrian lokal pada masing-masnig FSM yang
menggunakannya. Jika pada antrian global terdapat event
synchron, maka event selanjutnya belum akan disalurkan
sampai event synchron selesai digunakan oleh setiap
FSM. Proses event dispatch dapat dilihat pada gambar 7.
Proses event dispatch dimulai dengan mengambil
event yang ada dalam antrian global, memeriksa jenis
event kemudian menyalin event tersebut ke antrian lokal
FSM. Saat menentukan jenis event jika event synchron

| Seminar Nasional Embedded Systems, Bandung 20 September 2012

21

maka akan diperiksa lebih lanjut apakah event tersebut


sudah selesai digunakan atau belum. Jika sudah selesai
digunakan, proses penyalinan dilanjutkan sampai isi dari
antiran global habis, sedangkan jika belum maka proses
penyalinan event akan dihentikan.

Gambar 6. Ilustrasi penggunaan event regular dan event


synchron.

Proses event dispatch akan dieksekusi sebelum proses


event handler untuk menyalurkan event yang aktif dan
disetiap akhir dari proses eksekusi FSM untuk
memeriksa apakah ada event yang aktif dan digunakan
oleh FSM tersebut, akibat dari proses aksi oleh FSM
yang sama.

Gambar 7. Proses event dispatch.

3.2 Perancangan proses event handler.


Secara umum proses event handler adalah proses
yang menangani tanggapan dari setiap event yang aktif.
Penanganan event dibagi-bagi dalam setiap FSM yang
digambarkan dengan diagram statechart. Proses
penanganan event untuk setiap FSM di gambarkan pada
gambar 8.

menggunakan prinsip First In First Out (FIFO) yaitu


event yang lebih dulu masuk akan keluar lebih dulu.
Proses pengisian event pada antrian yaitu
menggunakan
fungsi
push,
sementara
proses
pengambilan event dalam antrian menggunakan fungsi
pop. Proses pendukung pelengkap framework dengan
konsep event driven antara lain :
1. Proses mengaktifkan event. Proses ini akan
mengubah nilai .id pada struct eventFsmStat
menjadi bernilai 1 dan menyalin event yang aktif
ke antrian global.
2. Proses Membaca isi antrian. Proses ini digunakan
saat memulai proses event handler.
3. Proses menonaktifkan event. Event yang sudah
selesai digunakan akan dinonaktifkan dengan cara
mengubah nilai .id pada struct eventFsmStat
menjadi bernilai 0.

3.3 Implementasi dan pengujian.


Bahasa C dipilih untuk implementasi rancangan
karena bahasa ini banyak digunakan oleh Pemrogram
dalam mengembangkan aplikasi sistem embedded.
Pengujian yang dilakukan akan berdasarkan diagram
statechart yang ditunjukkan pada gambar 9.
Diagram statechart terdiri dari empat state dan empat
event. Aksi dari setiap event akan mengirimkan printf ke
layar kemudian berpindah ke state tertentu. Diagram ini
akan menguji proses event dispatch dan proses event
handler.
Sebelum proses event dispatch dimulai, event harus
diaktifkan dan berada dalam antrian global. Event
tersebut akan dipindahkan ke dalam antrian lokal
bedasarkan urutan pengaktifan event. Untuk mengetahui
proses dispatch benar atau salah dapat dilihat dalam
proses eksekusi event pada setiap state. Urutan aktif
event harus sama dengan urutan eksekusi event.
Sementara perpindahan state yang sesuai dengan event
yang aktif adalah pembuktian dari proses event handler.

Gambar 8. Proses event handler.

Proses event handler dalam setiap FSM akan dimulai


dengan memeriksa event dalam antrian lokal. Jika ada
maka event tersebut akan diambil, berdasarkan event
tersebut akan dilakukan proses pengecekan pada state
yang sedang aktif sesuai dengan kondisi state tersebut.
Setelah event digunakan maka event akan dinonaktifkan
dan proses akan berulang, memeriksa isi antrian lokal.
Pada proses ini digunakan sebuah variabel untuk
menentukan apakah proses pada FSM tersebut sudah
selesai atau belum, variabel ini bernama trace. Variabel
trace akan bernilai benar saat event dalam antrian lokal
telah habis. Sementara prinsip kerja antrian

22

Gambar 9. Diagram statechart pengujian.

Pengujian dilakukan sebanyak dua kali dengan urutan


event aktif yang berbeda. Urutan event aktif pada
pengujian pertama yaitu :
a. Event Evt_Satu.
b. Event Evt_Dua.
c. Event Evt_Empat.
d. Event Evt_Tiga.
Sementaran urutan event aktif pada pengujian kedua
yaitu :
a. Event Evt_Satu.
b. Event Evt_Dua.

| Seminar Nasional Embedded Systems, Bandung 20 September 2012

c. Event Evt_Tiga.
d. Event Evt_Empat.
Hasil dari pengujian ini dapat dilihat pada gambar 10
untuk pengujian pertama dan gambar 11 untuk pengujian
yang kedua.
Pengujian yang pertama memperlihatkan proses
eksekusi akan kembali lagi ke state idle. Hal Ini
disebabkan karena proses pengaktifan event yang berurut
sesuai dengan event yang digunakan untuk perpindahan
state. Sementara pada pengujian yang kedua proses
berhenti pada state dua.
Urutan event yang diaktifkan pada pengujian yang
kedua berbeda dengan urutan event pada pengujian
pertama. Sementara untuk perpindahan state dari state
dua ke state idle membutuhkan event EVT_Tiga.
Berdasarkan urutan eksekusi event yang aktif maka event
EVT_Tiga telah dieksekusi pada state tiga, namun
karena tidak digunakan maka event ini tidak
menyebabkan dampak apa-apa. Proses eksekusi event
dilanjutkan dengan event EVT_Empat, event ini akan
mengakibatkan perpindahan dari state tiga ke state dua.
Proses event handler akan berhenti karena event dalam
antrian lokal telah habis.

Gambar 10. Print screen pengujian pertama.

Proses pengujian yang dilakukan memperlihatkan


proses event dispatch dan proses event handler, untuk
mengetahui jika ada event yang diabaikan atau tidak
dugunakan dalam proses event handler maka akan
terjadi tambahan eksekusi pada kondisi steady dalam
state yang aktif.

Gambar 11. Print screen pengujian kedua.

4. Kesimpulan
Pengembangan perangkat lunak embedded system
dengan konsep event driven, menjadi mudah dipahami
karena menggunakan diagram statechart sebagai awal
pengembangan. Para pengembang dipermudah dalam
implementasi karena konsep event driven yang
dikembangkan dibuat dalam sebuah framework dan
modular sehingga pengembang dapat langsung
menambahkan proses khusus yang dikehendaki.
Framework
yang
dikembangkan
telah
mempertimbangkan proses context switch yang ada
dalam setiap pergantian task. Dengan cara menggunakan
dua kategori antrian, yaitu antrian global dan antiran
lokal. Dua bentuk event yaitu event reguler dan event
synchron diterapkan untuk menangani berbagai proses
komputasi.
Penelitian ini dapat dilanjutkan dengan pembuatan
alat bantu untuk menghasilkan framework embedded
system secara otomatis berdasarkan diagram statechart.
Hal ini dapat berkonstribusi dalam kecepatan
implementasi perangkat lunak dari tahap perancangan
menjadi prototype. Proses event dispatch berfungsi
untuk menyalurkan event dari antrian globak ke antrian
lokal pada FSM. Hal ini telah dibuktikan dalam
pengujian yang memperlihatkan bahwa eksekusi event
telah sesuai dengan perancangan yaitu event akan
disalurkan pada FSM yang menggunakannya secara
berurutan. Proses event handler berfungsi untuk
mengolah event yang terdapat dalam antrian lokal. Hal
ini telah dibuktikan dalam pengujian yang
memperlihatkan proses perpindahan state akibat dari
event tertentu. Event yang tidak digunakan dalam state
aktif akan diabaikan dan dilanjutkan dengan event
selanjutnya dalam antrian lokal. Jika event dalam antrian
lokal telah habis maka proses event handler akan
berhenti. Pada pengujian, proses event handler telah
sesuai dengan perancangan.

| Seminar Nasional Embedded Systems, Bandung 20 September 2012

23

Penelitian ini dapat dilanjutkan dengan pembuatan


alat bantu untuk menghasilkan framework embedded
system secara otomatis berdasarkan diagram statechart.
Hal dapat berkontribusi dalam kecepatan implementasi
perangkat lunak dari tahap perancangan menjadi
prototype.

6. Daftar Pertanyaan
1.

5. Daftar pustaka
[1]

[2]

[3]

[4]

[5]

[6]

24

Frank Vahid, Tony Givargis, Embedded System


Design : A Unified Hardware/Software
Introduction, Wiley, 2002.
Miro Samek, Practical UML Statechart in c/C++ :
Event-Driven Programing for Embedded System,
Elsevier, USA, 2008.
Wikipedia,
Event
driven
programing,
http://en.wikipedia.org/wiki/Eventdriven_progra
ming, Desember 2011.
Stephen Freg, Event Driven Programming :
Introduction,
Tutorial,
History,
http://eventdrivenpgm.sourceforge.net/event_driv
en_programming.pdf, Desember 2011.
Patricia
Seybold
Group,
Event-Driven
Architaeture,
http://www.psgroup.com/,
Desembar 2011.
Jzsef Kopjk and Dr. Jnos Kovcs, Eventdriven control program models running on
embedded systems, 6th IEEE International
Symposium
on
Applied
Computational
Intelligence and Informatics, IEEE, Romania,
may 19 21-2011, pp. 323-236.

2.

| Seminar Nasional Embedded Systems, Bandung 20 September 2012

Penanya:Purwatmo Kristiyanto (PT. Hanindo


Automation Solutions)
Pertanyaan: Apakah aplikasi di source code
berada di main loop?
Jawaban: aplikasi tidak ditempatkan di main
loop dan bentuk framework software setengan
jadi, berdasarkan event.
Pertanyaan: Handling untuk aplikasi yang
cepat (eksekusi yang cepat) ?
Jawaban: digunakan event synchronize untuk
menangani data yang penting.
Penanya: Mohammad Iqbal (Universitas
Muria Kudus)
Pertanyaan: Apakah ditujukan untuk
perangkat keras tertentu?
Jawaban: tidak tergantung dari hardware
sehingga tinggal menentukan event pada
frameworknya.

Pengujian Anti Power Faillure Smart Card untuk Provider Telekomunikasi


Benediktus Dwi Desiyanto1), Wisnu Anggoro2), Fakih Irsyadi3)
PT Cipta Srigati Lestari
beni@cslgroup.co.id1), wisnu@cslgroup.co.id2), fakih@cslgroup.co.id3)

Abstract
The growth of hand phone users has caused high demand for the availability of SIM card. There are many
network service providers which doing price competition in order to make the price of a mobile phone SIM card gets
cheaper than before. But it is not compensated by increasing quality of the SIM card supplied by the service provider
network. One thing that become a good indication of the quality of a SIM card is the card is already pass from a series
of standard and, as well as, advances testing. One important test of advances testing is anti power failure. The testing is
done to ensure the integrity of data in a file on the SIM card and to make sure there is no destruction of data caused by
interruption in the power supply when the SIM card is working. The result of this research is the SIM card has passed
anti power failure testing.
Keywords: handphone, SIM card, power faillure

Abstrak
Perkembangan jumlah pengguna perangkat telepon genggam menyebabkan tingginya tingkat kebutuhan akan
ketersediaan kartu SIM. Kompetisi harga yang dilakukan oleh banyak penyedia jasa layanan jaringan telepon genggam
menyebabkan harga sebuah kartu SIM menjadi semakin murah dari waktu ke waktu. Namun terkadang hal ini tidak
diimbangi dengan peningkatan kualitas dari kartu SIM yang disediakan oleh penyedia jasa layanan jaringan tersebut.
Salah satu hal yang menjadi indikasi dari baiknya kualitas sebuah kartu SIM adalah keberhasilan sebuah kartu
terhadap serangkaian pengujian standar maupun lanjutan. Salah satu pengujian lanjutan yang penting dilakukan
adalah anti power failure test. Pengujian ini dilakukan untuk memastikan integritas data yang ada pada sebuah berkas
pada kartu SIM akan tetap tersimpan dengan baik walau terjadi gangguan catu daya pada saat kartu SIM tersebut
bekerja. Hasil dari penelitian ini adalah kartu SIM yang diujikan telah lolos dari uji anti power failure.
Kata kunci: telepon genggam, kartu SIM, power failure

1. Pendahuluan
1.1 Latar Belakang
Penggunaan perangkat telepon genggam telah meluas
di masyarakat. Hal ini menyebabkan penggunaan
teknologi smartcard pada kartu SIM juga turut meluas.
Penggunan perangkat telepon genggam yang canggih
berdampak pada penggunaan energi baterai meningkat
sehingga memungkinkan perangkat mati disaat kartu
SIM sedang bekerja. Hal ini dapat mengakibat data-data
yang terdapat pada kartu SIM rusak ataupun hilang.
Untuk mengatasi masalah tersebut, dibutuhkan suatu
sistem pengamanan data pada setiap pemrosesan data
(anti power faillure) sehingga tidak terjadi kerusakan
atau kehilangan data pada saat perangkat telepon
genggam mati disaat kartu SIM masih bekerja
Pada makalah ini, akan dilakukan pengujian pada
sistem operasi kartu SIM GSM buatan perusahaan
PT.Cipta Srigati Lestari (CSL).

1.2 Tujuan Penelitian


Penelitian ini bertujuan untuk :
1. Melakukan pengujian performansi pada sistem
operasi kartu SIM.
2. Melakukan pengujian sistem anti power faillure
pada kartu SIM.
3. Membuktikan bahwa kartu SIM PT. CSL dapat
mengatasi permasalahan power faillure.

1.3 Batasan Masalah


Pada penelitian ini, prosedur pengujian kartu SIM
dikembangkan dari Standard logical Conformance GSM11.17.

2. Dasar Teori
2.1 ISO 7816
ISO 7816-3 merupakan standard contact smart card
secara umum. Dokumen ini membahas tentang standar
electrical characteristic, timing, dan komunikasi data
pada smart card (kartu SIM).
Berdasarkan tegangan kerjanya, smart card dibagi
menjadi 3 kelas, yaitu kelas A, B, dan C. Tabel berikut
menjelaskan tentang tegangan dan arus kerja pada setiap
kelas supaya smart card bekerja secara normal. Sesuai
dengan standard ISO 7816, terdapat enam sinyal elektrik
yang mempengaruhi kinerja smart card. Pertama adalah
catu daya (VCC dan Ground), Vpp (Programming
Voltage), Reset, Clock, dan jalur data (IO). Mode
Komunikasi data yang digunakan pada kartu SIM
menggunakan komunikasi serial asynchronous (UART).
Besarnya 1 Elementary Time Unit (ETU) sama dengan
F/D dikali dengan clock cycle-nya. Secara matematis
dapat ditulis:

| Seminar Nasional Embedded Systems, Bandung 20 September 2012

1 etu =F/D x 1/f

(1)

25

Besarnya perbandingan F dan D dapat diatur oleh


pengguna sesuai dengan kebutuhan komunikasi yang
dijalankan.
Tabel 1. Spesifikasi elekrik smart card
Symbol
Condition
Min
VCC

ICC

Max

Class A

4,5 V

5,5 V

Class B

2,7 V

3,3 V

Class C

1,62 V

1,98 V

Class A, at maximum
allowed frequency

60 mA

Class B, at maximum
allowed frequency

50 mA

Class C, at maximum
allowed frequency

30 mA

prosedur pengujian logical kartu SIM untuk


telekomunikasi yang berdasarkan dokumen 3GPP GSM
11.11. Sehingga tingkat performansi kartu dapat diukur
dari hasil pengujiannya.

2.5 Power Failure Test


Pengujian power failure dimaksudkan untuk menguji
kemampuan sebuah kartu SIM menjaga isi suatu berkas
agar tidak rusak ataupun hilang. Proses pengujian power
failure dilakukan dengan cara memutus aliran arus dari
catu daya yang seharusnya diberikan pada kartu SIM
pada saat kartu SIM sedang menulis (update) suatu
berkas. Suatu kartu SIM akan dinyatakan lulus uji power
failure jika kartu tersebut dapat mempertahankan
struktur file serta parameter-parameter yang melekat
pada tiap file walaupun terjadi pemutusan arus pada saat
penulisan suatu data. Data yang sedang ditulis ketika
power failure terjadi bisa jadi rusak, namun parameter
file seperti access condition, file size, number of record,
record size tidak boleh berubah.

3. Perancangan
When the clock is
stopped

0,5 mA

2.2 GSM 11.11


Dokumen 3GPP GSM 11.11 mengatur standar pada
smart card yang digunakan secara khusus untuk
telekomunikasi. Pada dokumen ini dibahas mengenai
tipe file, struktur file dan autentikasi data pada kartu
SIM. Pada kartu SIM terdapat 3 tipe file, yaitu Master
file (MF), Dedicated file (DF), dan Elementary file (EF).
Berikut ini adalah hirarki file pada smart card.

3.1 Perancangan Perangkat Keras


Pada pengujian Anti Power Failure ini, perangkat
keras yang digunakan adalah sebuah Personal Computer
(PC) dan sebuah alat bernama MP300 keluaran
Micropross. MP300 inilah yang bertugas untuk
mengirimkan perintah ke kartu dan memutuskan arus
listrik pada saat kartu SIM sedang menjalankan perintah.
Komunikasi antara PC dengan MP300 menggunakan
kabel standar jaringan RJ45. Gambar 2 menunjukkan
hubungan antara PC dengan MP300.

Gambar 2 Perancangan Perangkat Keras

3.2 Perancangan Perangkat Lunak

Gambar 1 Arsitektur file kartu SIM

MF adalah file induk yang membawahi seluruh file


yang terdapat di dalam kartu SIM. DF terletak di bawah
MF dan membawahi DF dan EF. DF tidak berisi data,
DF hanya berfungsi untuk pengelompokan file-file yang
dibawahinya. Di dalam hirarki, letak EF berada dibawah
DF atau MF secara langsung. EF adalah file (berkas)
yang berfungsi untuk menyimpan data-data yang ada
pada kartu SIM. Pengujian power failure akan
memastikan bahwa isi dari berkas-berkas tersebut tidak
hilang atau rusak.

2.3 GSM 11.17


Semua prosedur pengujian kartu SIM pada penelitian
ini dikembangkan dari prosedur yang telah diatur pada
dokumen 3GPP GSM 11.17. Dokumen tersebut
mengatur langkah-langah secara detail mengenai
26

Pada pengujian ini perangkat lunak dirancang untuk


bekerja di PC. Perangkat lunak ini berfungsi untuk
mengatur kerja MP300 dalam melakukan procedure
pengetesan. Perangkat lunak ini dibuat dengan
menggunakan Microsoft Visual C++.
Pertama-tama program dari perangkat lunak
melakukan pengumpulan semua seluruh parameter dari
semua file yang terdapat di dalam kartu SIM. Langkah
selanjutnya program akan memberikan perintah
penulisan data pada kartu. Setelah perintah dikirimkan
program akan meminta MP300 untuk memutuskan arus
listrik pada kartu SIM yang sedang melakukan
penulisan. Kemudian kartu SIM dihidupkan ulang dan
diperiksa apakah ada parameter file yang telah berubah.
Jika ada parameter yang berubah maka kartu gagal
dalam test ini, namun jika tidak ada yang berubah maka
test dilanjutkan dengan menaikkan waktu untuk
pemutusan arusnya (increment PF timming). Test ini
dilakukan berulang-ulang dari waktu pemutusan arus
terpendek sampai terlama yakni sampai kartu

| Seminar Nasional Embedded Systems, Bandung 20 September 2012

memberikan jawaban bahwa proses penulisan telah


dilakukan dengan sempurna.
Gambar 3 menunjukkan diagram alir dari
perancangan perangkat lunak pengujian power failure.

b. Select Direktori CDMA (6F20)


Response: 9F16

c. Select File SMS (6F3C)


Response: 9F0F

d. Get Response File SMS (6F3C)


START

COLLECTING PARAMETER
AND STRUCTURE OF FILE

UPDATE FILE

INCREMENT
PF TIMING

BREAK THE CURRENT FOR POWER


FAILURE TEST

COLLECTING PARAMETER
AND STRUCTURE OF FILE

YES

INITIAL FILE
EQUAL WITH
UPDATED FILE?

NO

STOP

Gambar 3 Perancangan Perangkat Lunak

4. Pengujian Analisis Data


Pengujian dilakukan dengan mengkonfigurasikan
perangkat keras dan perangkat lunak kemudian
didapatkan hasil seluruh berkas yang diubah isinya tidak
mengalami perubahan data, sehingga dapat dikatakan
bahwa isi dari berkas tersebut tidak mengalami
kerusakan kartu SIM lolos dalam pengujian power
failure. Berikut hasil data pengujian power failure:

Response:
000027D86F3C040011F044010201FF9000
Dari data pengujian di atas, terlihat bahwa parameter
File SMS sebelum dan setelah pengujian power failure
tidak mengalami perubahan, sehingga kerusakan data
akibat power failure tidak terjadi. Sementara untuk hasil
pengujian kartu SIM yang mengalami kerusakan akibat
pengujian power failure adalah sebagai berikut:
Sebelum dilakukan pengujian power failure:
a. Select Master File (3F00)
Response: 9F16
b. Select Direktori CDMA (6F20)
Response: 9F16
c. Select File SMS (6F3C)
Response: 9F0F
d. Get Response File SMS (6F3C)
Response:
000027D86F3C040011F044010201FF9000
Setelah dilakukan pengujian power failure:
a. Select Master File (3F00)
Response: 9F16
b. Select Direktori CDMA (6F20)
Response: 9F16
c. Select File SMS (6F3C)
Response: 9F0F
d. Get Response File SMS (6F3C)
Response:
000027D86F3C020011F044010201FF9000
Parameter untuk byte ke-7 mengalami perubahan akibat
pengujian power failure.

5. Daftar Pustaka
[1] ISO/IEC 7816-3

[2]
Sebelum dilakukan pengujian power failure:
a. Select Master File (3F00)
Response: 9F16
b. Select Direktori CDMA (6F20)
Response: 9F16
c. Select File SMS (6F3C)
Response: 9F0F
d. Get Response File SMS (6F3C)
Response:
000027D86F3C040011F044010201FF9000

(2003):
Information
technology Identification cards Integrated
circuit(s) cards with contacts Part 3:
Electronic signals and transmission protocols
GSM 11.11 v8.1.0 (1999): Digital cellular
telecommunications system (Phase 2+);
Specification of the Subscriber Identity
Module - Mobile Equipment (SIM - ME)
interface

Daftar Pertanyaan
1.

2.

Setelah dilakukan pengujian power failure:


a. Select Master File (3F00)
Response: 9F16

| Seminar Nasional Embedded Systems, Bandung 20 September 2012

Penanya: Agus Subekti (LIPI)


Pertanyaan: Apakah bisa digunakan untuk
pengujian kartu CDMA?
Jawaban: bisa
Penanya: Efendi Zaenuddin (LIPI)
Pertanyaan: Apakah dapat digunakan untuk
GSM dengan spesifikasi yang lain?
Jawaban: bisa
27

28

| Seminar Nasional Embedded Systems, Bandung 20 September 2012

Perancangan dan Implementasi Perangkat Generator Kode Framework Sistem


Embedded Hardware/Software Berbasis Model Event-Driven
I Wayan Sutaya

Arif Sasongko

Institut Teknologi Bandung


sutaya.elkt@gmail.com

Institut Teknologi Bandung


sutaya.elkt@gmail.com

Abstract
An embedded system can be roughly defined as a computing system designed to perform one or more specific
tasks. This computing system is not the main product. Typically, this system is an embedded part of a large system in
which the product also often has other electronic and mechanic modules. Application code inserted in the embedded
system is called embedded software. Often, this embedded system performs a task that requires high performance.
Therefore, it requires a hardware accelerator module that is called embedded hardware. A design of an embedded
system that involves both hardware and software design can be implemented using FPGA. In this paper the authors
propose a generator tool for generating hardware/software framework code of embedded system with using model
diagrams as input. The framework code resulted is in C code (embedded software) and VHDL code (embedded
hardware). Framework code is abstraction code of embedded system that describes program flow and function modules
that are contained in an embedded system. The framework code integrates the function modules to form a complete
embedded system. These function modules will be develop manually by user. The framework code resulted use eventdriven model. The automatic generation of this framework code eases the integration of the embedded system.
Furthermore, it speeds up the development time. This conclusion has been verified by a case study development of
calculator on Altera DE2 (FPGA).
Keywords: embedded system, framework, event-driven, C code, VHDL, generator tool

Abstrak
Sebuah sistem embedded secara sederhana didefinisikan sebagai sistem komputasi yang didesain untuk
melakukan satu atau beberapa tugas spesifik. Sistem komputasi ini bukan produk akhir atau produk utama. Biasanya
sistem ini merupakan bagian embedded dari sebuah produk yang lebih besar. Produk ini juga sering berisi modul
elektronik dan mekanik lain. Kode-kode program aplikasi yang dibenamkan pada sistem embedded disebut embedded
software. Terkadang sebuah sistem embedded membutuhkan kinerja yang tinggi sehingga ia memerlukan sebuah modul
hardware accelerator yang disebut dengan embedded hardware. Perancangan sebuah sistem embedded yang
melibatkan perancangan hardware dan software dapat dibuat prototypenya menggunakan FPGA. Pada paper ini
penulis mengusulkan sebuah perangkat generator untuk pembuatan kode framework sistem embedded
hardware/software dengan input berupa diagram model. Kode framework yang dihasilkan adalah dalam kode C
(embedded software) dan kode VHDL (embedded hardware). Kode framework ini berupa kode abstraksi dari sebuah
sistem embedded yang menggambarkan alur program dan modul-modul fungsi yang ada dalam sistem embedded
tersebut. Kode framewok ini akan mengintegrasikan modul-modul fungsi sehingga terbentuk sebuah sistem embedded
yang utuh. Proses detail yang ada dalam modul-modul fungsi akan dibuat secara manual oleh pengguna. Kode
framework yang dihasilkan ini menggunakan konsep event-driven. Pembuatan kode framework secara otomatis ini
mempermudah dan mempercepat proses pengembangan sistem embedded. Manfaat ini telah diverifikasi dengan studi
kasus perancangan kalkulator diatas platform NIOS, uC/OS diatas FPGA Altera DE2.
Kata kunci: sistem embedded, framework, event-driven, kode C, VHDL, perangkat generator
Kode-kode program aplikasi yang dibenamkan pada
sistem embedded disebut embedded software. Terkadang
1. Pendahuluan
sebuah sistem embedded melakukan sebuah tugas yang
Saat ini sebagian besar perangkat elektronik berbasis
memerlukan kinerja yang tinggi sehingga ia memerlukan
sistem embedded. Sistem embedded secara sederhana
sebuah modul hardware accelerator yang disebut
didefinisikan sebagai sistem komputasi yang didesain
dengan embedded hardware. Perancangan sebuah sistem
untuk melakukan satu atau beberapa tugas spesifik [1].
embedded yang melibatkan hardware dan software
Sistem komputasi ini bukan produk akhir tetapi bagian
diimplementasikan
dalam
bentuk
prototype
embedded dari sebuah produk/sistem yang lebih besar.
menggunakan FPGA.
Didalam produk ini juga sering terdapat bagian modul
Untuk mengurangi time-to-market, banyak penelitian
elektronik dan mekanik tambahan. Sebuah sistem
telah dilakukan dalam pengembangan aplikasi
komputasi ini terdiri dari empat komponen utama yaitu:
otomatisasi untuk mempercepat proses pengembangan
prosesor, memori, bus dan I/O. Dengan kemajuan
sistem embedded. Perangkat aplikasi ini biasanya
teknologi saat ini, keempat komponen penyusun sistem
mengotomatisasi bagian-bagian tertentu dalam proses
komputasi ini bisa dibuat dalam satu single IC, dimana
pengerjaan sistem embedded. Salah satu penelitian yang
istilah ini sering disebut dengan System on Chip (SoC)
telah dilakukan adalah proses otomatisasi pembuatan
[2].
hardware (dalam kode VHDL) yang menggunakan

| Seminar Nasional Embedded Systems, Bandung 20 September 2012

29

diagram UML statechart sebagai input [3]. Penelitian ini


memanfaatkan sebuah open source Enclipse universal
tool untuk pembuatan diagram UML statechart.
Pembuatan framework untuk sistem embedded adalah
salah satu hal yang sangat penting karena framewok ini
akan mengintegrasikan modul-modul fungsi dari
berbagai domain aplikasi sehingga terbentuk sebuah
sistem embedded yang utuh. Kode framework berupa
kode abstraksi dari sebuah sistem embedded yang
menggambarkan alur program dan modul-modul fungsi
yang ada dalam sistem embedded tersebut.
Mengotomatisasi proses pembuatan kode framework
akan mempunyai dampak yang signifikan dalam
mempercepat proses pengerjaan sistem embedded.
Pada paper ini penulis mengajukan sebuah perangkat
generator untuk pembuatan kode framework sistem
embedded hardware/software dengan input berupa
diagram model (diagram objek dan statechart). Kode
framework yang dihasilkan adalah dalam kode C
(embedded software) dan kode VHDL (embedded
hardware).
Dengan memanfaatkan generator yang dibuat ini, para
engineer bisa berpikir bahwa sebuah sistem embedded
terdiri dari beberapa objek yang bekerja sama dengan
yang lainnya. Masing-masing tugas dari objek akan
ditentukan apakah lebih memerlukan kinerja atau
fleksibilitas. Dari kebutuhan kinerja dan fleksibilitas
tersebut, dapat ditentukan implementasinya akan
software atau hardware. Hal ini memungkinkan
perangkat generator menghasilkan kode framework
embedded hardware dan software tanpa harus membuat
sebuah desain bagian hardware dan software yang
terpisah.

2. Konsep dan implementasi


2.1. Arsitektur Hardware dan software pada
sistem embedded
Hubungan antara bagian embedded software dan
bagian embedded hardware dalam sebuah sistem
embedded hardware/software ditunjukkan pada Gambar
1. Embedded software berupa program aplikasi (dalam
kode C) yang berjalan pada sebuah sistem komputasi
(Prosesor, Bus, Memori, I/O). Sedangkan embedded
hardware (dalam kode VHDL) adalah sebuah modul
hardware yang terhubung ke sistem komputasi. Sistem
embedded yang melibatkan hardware dan software
diimplementasikan
dalam
sebuah
prototype
menggunakan FPGA.
Pada Gambar 1 bagian yang berwarna putih
menunjukkan embedded software, dan bagian yang
berwarna abu-abu menunjukkan embedded hardware.
Tanda nomer 4,5,6,7 menunjukkan sebuah alur proses
dari sebuah sistem embedded software yang
menggunakan konsep event-driven. Sedangkan tanda
nomer 1,2,3 menunjukkan sebuah alur proses dari sistem
embedded hardware yang juga menggunakan konsep
event-driven. Kode-kode yang mengatur alur proses ini
akan dibuat secara otomatis menggunakan perangkat
generator. Sedangkan modul-modul fungsi yang
diperlukan didalamnya dibuat secara manual.

30

EmbededSoftware

6
5

MODUL
MANAGEMENT EVENT

RTOS
HAL

System(Processor,Bus, Memory, I/O)


Interface Module

3
1

Embedded Hardware

Gambar 1. Komunikasi hardware dan software pada


sistem embedded

2.2. Embedded software dengan model


driven

event-

Embedded software yang menggunakan model eventdriven [4] ditunjukkan pada Gambar 2. Sistem eventdriven ini terdiri dari Event Generator, Event
Dispatcher, dan Event Handler. Event Generator
berfungsi
mengaktifkan
event-event
kemudian
menaruhnya didalam Global Queue. Event Dispatcher
akan mengirimkan event-event ke Event Handler. Event
Handler melakukan aksi berdasarkan event yang
diterima.
ENVIRONMENT

EVENT HANDLER

LOCAL QUEUE
of EVENT

EVENT
GENERATOR

GLOBAL QUEUE
of EVENT

EVENT
DISPATCHER

LOCAL QUEUE
of EVENT

LOCAL QUEUE
of EVENT

Gambar 2. Embedded software dengan konsep eventdriven

Pada Event Handler ini aksi-aksi yang terjadi


diwakilkan dalam bentuk behavior sebuah objek,
sehingga dalam event handler terdapat satu atau lebih
objek yang masing-masing objek mempunyai satu
behavior. Behavior ini digambarkan dalam bentuk state
machine [5]. Salah satu keuntungan dari menggunakan
model event-driven adalah menghemat konsumsi daya.
Karena sebuah proses terjadi apabila terdapat event di
Queue, jika tidak ada event maka prosesor akan berada
pada saving mode [6].

| Seminar Nasional Embedded Systems, Bandung 20 September 2012

2.3. Embedded hardware dengan konsep eventdriven


Pada bagian embedded hardware yang menggunakan
model event-driven ditunjukkan pada Gambar 3 terdiri
dari Event Distributor dan Event Handler. Event
Distributor ini berfungsi sebagai pengirim event-event
ke Event Handler. Event Handler akan melakukan aksi
berdasarkan event yang diterima.
EVENT HANDLER
ENVIRONMENT

Receive Event
A

va
cti
Re

EVENT
DISTRIBUTOR

E
te

ve

e
eiv

nt

Ev

t
en

Receive Event
Activate Event
Re

ce

ive

Ev

en
t

Gambar 4. Arsitektur sistem generator framework

2.5. Implementasi
diagram
embedded dalam GUI

model

sistem

Gambar 3 Embedded hardware dengan konsep eventdriven

Aksi yang dilakukan dalam Event Handler


direpresentasikan dalam dua jenis objek. Pertama objek
yang mempunyai state machine dan kedua objek yang
tidak mempunyai state machine. Pada objek yang
mempunyai state machine akan melakukan aksi
berdasarkan event yang diterima, dimana aksi yang
dilakukan ini berupa pengaktifan event-event yang lain.
Sedangkan objek yang tidak mempunyai state machine
akan menerima event untuk mengaktifkan modul-modul
proses yang dimiliki.

2.4. Arsitektur sistem generator framework


Alur proses dari generator framework ini ditunjukkan
pada Gambar 4. Kotak persegi yang berwarna abu-abu
menunjukkan proses yang terjadi, sedangkan yang
berwarna putih menunjukkan output. Proses pertama
yang dilakukan adalah membuat diagram model sistem
embedded, selanjutnya men-generate diagram model
menjadi kode framework. Pada proses men-generate
kode framework, perangkat ini menggunakan kode-kode
template sebagai referensi yang terdapat pada library.
Kode-kode template ini mendefinisikan struktur kode C
dan VHDL dalam format file xml.
Kode-kode framework yang dihasilkan oleh
generator tidak bisa implementasikan langsung dalam
sebuah prototype yang menggunakan FPGA. Diperlukan
penambahan modul-modul fungsi berdasarkan yang
telah didefinisikan pada framework embedded software,
dan penambahan datapath pada framework embedded
hardware.

Gambar 5. Implementasi diagram objek dalam GUI

Diagram model yang dibuat dalam bentuk GUI


merupakan hasil kustomisasi pada open source
ArgoUML. ArgoUML adalah sebuah aplikasi pembuatan
diagram UML yang ditulis dalam bahasa Java dibawah
lisensi Open Source Enclipse License [7]. Diagram
model sistem embedded ini dibagi manjadi dua area GUI
yaitu: area untuk pembuatan diagram objek sistem
embedded dan area untuk pembuatan statechart dari
setiap objek. Pada Gambar 5 ditunjukkan contoh
diagram objek sistem embedded dalam bentuk GUI.
Setiap objek akan mempunyai stereotype untuk
menandakan jenis objek. Objek-objek yang berada pada
bagian embedded software mempunyai stereotype
<<soft>>, sedangkan objek-objek yang berada pada
bagian embedded hardware mempunyai dua jenis
stereotype <<fsm>> dan <<datapath>>. Package
berfungsi untuk mengelompokkan objek embedded
software, dimana pada package ini bisa memilih
prosesor (Nios, Leon) dan RTOS (ucos, ecos, linux).

| Seminar Nasional Embedded Systems, Bandung 20 September 2012

31

Pada Gambar 6 ditunjukkan contoh diagram


statechart dari objek. Pada statechart ini terdapat satu
state atau lebih. Sebuah state mempunyai tiga jenis
kondisi yaitu : entry, do, dan exit. Transisi antar state
dilambangkan dalam bentuk garis panah, dan event yang
menyebabkan terjadinya transisi didefinisikan pada garis
panah tersebut.

Gambar 6. Implementasi statechart dalam GUI

2.6. Generator kode


2.6.1. Kode framework embedded software
Pada framework embedded software yang
menggunakan konsep event-driven ini, proses-proses
yang ada akan dikelompokkan menjadi tiga bagian:
1. Behavior/FSM Objek
Bagian ini menangani proses-proses yang terdapat pada
Event Handler seperti pada Gambar 2, dimana pada
Event Handler terdapat objek-objek yang melakukan
tugasnya di-triger oleh event. Untuk mendiskripsikan
behavior dari setiap objek digunakan FSM (Finite State
Machine). Setiap objek akan mempunyai satu FSM.
Gambar 7 berikut menunjukkan FSM yang dimiliki oleh
sebuah objek.
Dimana pada FSM ini terdapat dua state yaitu: S1,
S2. Sedangkan event yang menyebakan terjadi
perpindahan state yaitu: CAPS_LOCK. Proses yang bisa
dilakukan pada setiap state adalah memanggil fungsi
untuk melakukan proses tertentu.
<<soft>>
OBJECT_A
Priority : 2

S1
entry/onLowerCase();
do/
exit/offLowerCase();

CAPS_LOCK

CAPS_LOCK

S2
entry/onUpperCase();
do/
exit/offUpperCase();

Gambar 7. FSM dari sebuah objek pada embedded


software

Desain dari FSM ini bisa diterjemahkan menjadi


kode-kode program, dengan menggunakan konsep
Nested Switch Statement dan setiap objek akan
menghasilkan satu file kode program (nama_objek.c).
Berikut ini ditunjukkan contoh
kode untuk
menterjemahkan FSM dari objek pada Gambar 7.

32

switch(state){
case S1 :
switch(condition){
case ENTER:
TRANCODITION(STEADY);
onLowerCase();
case STEADY:
switch(event){
case CAPS_LOCK:
nextState = S2;
TRANCONDITION(EXIT);
default:
TRANCONDITION(STEADY);
}
case EXIT:
TRANCONDITION(ENTER);
offLowerCase();
}
case S2 :
switch(condition){
case ENTER:
TRANCODITION(STEADY);
onUpperCase();
case STEADY:
switch(event){
case CAPS_LOCK:
nextState = S1;
TRANCONDITION(EXIT);
default :
TRANCONDITION(STEADY);
}
case EXIT:
TRANCONDITION(ENTER);
offUpperCase();
}
}

2. Manajemen Event-Driven
Bagian ini akan menangani proses-proses yang
terdapat pada Global Queue of Event, dan Event
Dispatcher seperti pada Gambar 2. Proses-proses ini
akan diterjemahkan kedalam empat buah file kode
program yaitu: environment.c yang berfungsi
inisialisasi event-event, environment.h yang berfungsi
untuk deklarasi event-event, global.c yang berfungsi
untuk proses penanganan antrian/queue dan proses
penyaluran event-event, global.h yang berfungsi
deklarasi queue dan tipe data.
3. Manajemen Multitasking
Bagian ini berfungsi untuk menangani proses
multitasking yang menggunakan RTOS [8]. Setiap
objek pada bagian embedded software yang
mempunyai tanda priority yang berbeda akan dibuat
sebuah task yang akan memanggil fungsi dari objek.
Jumlah file yang akan dihasilkan tergantung jumlah
tanda priority yang berbeda. Penamaan untuk sebuah
objek yang mempunyai priority berbeda adalah
taskNama_objek.c, sedangkan apabila beberapa objek
mempunyai priority yang sama akan menggunakan
salah
satu
nama
objek
sebagai
berikut
taskNama_objekandRelation.c. Selain itu juga terdapat
satu file tambahan environment_ucos.h untuk proses
pendeklarasian task-task.

| Seminar Nasional Embedded Systems, Bandung 20 September 2012

2.6.2. Kode framework embedded hardware


Pada framework embedded hardware yang
menggunakan konsep event-driven, proses-proses yang
ada dikelompokkan menjadi tiga yaitu:
1. Behavior/FSM Objek
Bagian ini menangani proses-proses yang terdapat
pada Event Handler seperti pada Gambar 3, dimana
pada Event Handler terdapat objek-objek yang
melakukan tugasnya yang ditriger oleh event. FSM
dari objek akan diterjemahkan menjadi kode-kode
VHDL. Gambar 8 menunjukkan FSM yang dimiliki
oleh sebuah objek pada bagain embedded hardware.
<<fsm>>
OBJECT_X

S2
start_add
do/add_proces

S1
do/idle_proces

finish_add
start_mul

S3
finish_mul
do/mul_proces

proses yang dilakukan adalah sebagai penghubung


terhadap aliran event-event antar objek. Pada proses
ini akan diterjemahkan menjadi satu file yaitu
Top_framework.vhd.
3. Datapath objek
Objek datapath tidak berisi behavior atau fsm, tetapi
mempunyai event. Sehingga proses yang terjadi akan
diisikan secara manual berdasarkan event yang
dimiliki oleh objek ini. Setiap objek datapath akan
menghasilkan satu file namaObjek.vhd.

3. Studi kasus dan analisis


Studi kasus yang dibuat disini adalah sistem
embedded perangkat kalkulator. Proses pengerjaaan
studi kasus ini menggunakan perangkat generator kode
framework. Output dari perangkat kalkulator ini
menggunakan monitor, input menggunakan mouse, dan
bagian sistem embedded menggunakan FPGA dari
Altera.
Penentuan
apakah
sebuah
proses
diimplementasikan pada bagian embedded software atau
hardware didasarkan pada fleksibilitas dan kinerja yang
diperlukan.

Gambar 8. FSM dari sebuah objek pada embedded


hardware

Perpindahan antar state ditriger oleh event, dan proses


yang bisa dilakukan pada setiap state adalah
mengaktifkan event-event yang akan digunakan oleh
objek lain. Setiap objek akan menghasilkan satu file
namaObjek.vhd. Berikut ini ditunjukkan contoh kode
untuk menterjemahkan FSM dari Objek pada Gambar
8.
process(iRST_N, iCLK )
begin
if( iRST_N = '0' ) then
current_state <= S1;
elsif(iCLK'event and iCLK ='1')then
current_state <= next_state;
end if;
end process;
process(current_state)
begin
case current_state is
when S1 =>
-- idle_process
if(start_add = '1') then
next_state <= S2;
elsif(start_mul = '1')
next_state <= S3;
end if;
when S2 =>
-- add_process
if(finish_add = '1') then
next_state <= S1;
when S3 =>
-- mul_process
if(finish_mul = '1') then
next_state <= S1;
end case;
end process;

Gambar 9. Studi kasus sistem embedded kalkulator

Proses untuk tampilan kalkulator dibuat pada bagian


embedded software, sedangkan proses untuk perhitungan
dibuat pada bagian hardware. Sehingga diagram objek
yang dibuat ditunjukkan pada Gambar 10.

Gambar 10. Diagram Objek Sistem Embedded


Hardware/Software

2. Manajemen Event-Driven
Bagian ini berfungsi untuk menangani Event
Distributor seperti pada Gambar 3, dimana proses-

| Seminar Nasional Embedded Systems, Bandung 20 September 2012

33

Salah satu contoh diagram statechart dari objek yang


diimplementasikan pada bagian embedded software
yaitu: oneButton yang ditunjukkan pada Gambar 11.

Gambar 11. Diagram statechart objek oneButton

Pada pengerjaan studi kasus ini, proses pengerjaan


dibagi menjadi tiga bagian yaitu: desain sistem yang
100% dilakukan manual, pembuatan alur/framework 100
% otomatisasi, dan pembuatan kode 60 % dilakukan
secara otomatisasi. Jadi pada kasus ini perangkat ini
mempercepat waktu pengerjaan hingga 51%. Tentu saja
angka ini spesifik untuk studi kasus ini

[4] Nrusingh Prasad Dash, Ranjan Dasguptay, Jayakar


Chepadaz and Arindam Halderx, Event Driven
Programming for Embedded Systems : A Finite
State Machine Based Approach. ICONS The Sixth
International Conference on Systems, 2011.
[5] Miro Samek, Practical UML Statecharts in C/C++ :
Event-Driven Programming for Embedded Systems.
Elsevier, 2003.
[6] Jzsef Kopjk and Dr. Jnos Kovcs, Event-driven
control program models running on embedded
systems. 6th IEEE International Symposium on
Applied Computational Intelligence and
Informatics, Romania, 2011, pp. 323-326.
[7] Linus Tolke, Markus Klink, and Michiel van der
Wulp, Cookbook for Developers of ArgoUML : An
introduction to Developing ArgoUML. University of
California, 2007.
[8] Jean J. Labrosse, MicroC/OS-II : The Real-Time
Kernel. R&D Books Lawrence, 1999

6. Daftar Pertanyaan
1.

4. Kesimpulan
Pada paper ini mempresentasikan sebuah perangkat
generator
framework
sistem
embedded
hardware/software yang telah dibuat. Perangkat
generator memungkinkan untuk mendiskripsikan sistem
embedded yang melibatkan hardware dan software
dalam satu desain diagram model. Sebuah studi kasus
proyek sistem embedded hardware/software telah
diselesaikan dengan berbantuan perangkat generator ini.
Dari proses pengerjaan studi kasus ini didapat kontribusi
yang diberikan oleh perangkat generator ini sebesar 51%
dalam mempercepat proses pengerjaan.

2.

3.

5. Daftar pustaka
[1] Frank Vahid, Tony Givargis, Embedded System
Design : A Unified Hardware-Software
Introduction. Wiley, New Jersey, 2002.
[2] Pong P. Chu, Embedded SOPC Design With Nios II
Processor and VHDL Examples. Wiley, New Jersey,
2011.
[3] Stephen K. Wood, David H.Akehurst,Oleg
Uzenkov, W.Gareth J.Howells, and Klaus D.
McDonald-Maier, A Model-Driven Development
Approach to Mapping UML State Diagrams to
Synthesizable VHDL. IEEE TRANSACTIONS ON
COMPUTERS, 2008, 14(10), pp. 1357-1371.

34

| Seminar Nasional Embedded Systems, Bandung 20 September 2012

Penanya: Indera Wibawa (PT LEN)


Pertanyaan: Apakah membuat generator C
dan VHDL?
Jawaban: sebagian dari awal.
Pertanyaan: Apa bisa membuat ladder
diagram?
Jawaban: bisa, saat ini mendukung UML
Penanya: Agus Subekti (LIPI)
Pertanyaan: Apakah bisa digunakan untuk
MFPGA?
Jawaban: bisa
Penanya: Kristian Sutikno (PT Nobi)
Pertanyaan: Apakah librarynya tersedia
untuk semua platform?
Jawaban: library bisa ditambahkan sendiri
Pertanyaan: Siapa yang membuat library?
Jawaban: analist project

Survey Metode Prediksi Penyakit


Rika Rosnelly

Edi Winarko

STMIK Potensi Utama


rika@potensi-utama.ac.id

Universitas Gadjah Mada


edwin@ugm.ac.id

Abstract
Symptoms can be used as the indication to diagnose a disease that could be dangerous for patients. In fact,
symptoms of the disease are often underestimated by patients. The diagnosis of diseases by a physician and medical
treatment of patients must be done carefully and accurately example monitoring the spread of diseases, particularly the
very high spreading rate, is needed by researchers, practitioners and policy makers in the field of health, in order to
make accurate decisions as quickly as possible. This paper will discuss various approaches and methods have been
developed for the system prediction of disease. This type of research is a survey method and system for tropical and
non-tropical diseases by reviewing papers / journals. With the progress of science and the development of systems and
technologies currently dangers posed by a disease can be detected more quickly.
Keywords: survey, diseases prediction methods, tropical and non tropical diseases

Abstrak
Suatu gejala penyakit dapat merupakan awal dari suatu penyakit yang dapat membahayakan pasien, tetapi
pada kenyataannya gejala penyakit tersebut terkadang dianggap remeh oleh pasien. Diagnosis penyakit yang dilakukan
oleh seorang dokter dan penentuan tindakan medis terhadap pasien harus dilakukan dengan cermat dan berhati-hati
misalnya pemantauan penyebaran penyakit, terutama yang tingkat penyebarannya sangat tinggi, sangat dibutuhkan
oleh peneliti, praktisi dan pengambil kebijakan di bidang kesehatan, agar dapat membuat keputusan akurat secepat
mungkin. Pada makalah ini akan dibahas berbagai pendekatan metode dan sistem yang telah dikembangkan untuk
prediksi penyakit. Jenis penelitian adalah survei metode dan sistem untuk penyakit tropis dan non tropis dengan review
makalah/jurnal. Dengan adanya kemajuan ilmu pengetahuan dan perkembangan sistem dan teknologi saat ini bahaya
yang ditimbulkan oleh suatu penyakit dapat diketahui dengan lebih cepat.
Kata kunci: survei, metode prediksi penyakit, penyakit tropis dan non tropis

1. Pendahuluan
Suatu gejala penyakit dapat merupakan awal dari
suatu penyakit yang dapat membahayakan pasien, tetapi
pada kenyataannya gejala penyakit tersebut terkadang
dianggap remeh oleh pasien. Diagnosis penyakit yang
dilakukan oleh seorang dokter dan penentuan tindakan
medis terhadap pasien harus dilakukan dengan cermat
dan berhati-hati. Pemantauan penyebaran penyakit,
terutama yang tingkat penyebarannya sangat tinggi,
sangat dibutuhkan oleh peneliti, praktisi dan pengambil
kebijakan di bidang kesehatan, agar dapat membuat
keputusan akurat secepat mungkin. Pada makalah ini
akan dibahas berbagai pendekatan metode dan sistem
yang telah dikembangkan untuk prediksi penyakit.
Dengan adanya kemajuan ilmu pengetahuan dan
perkembangan sistem dan teknologi saat ini bahaya
yang ditimbulkan oleh suatu penyaki dapat diketahui
dengan lebih cepat. Kemajuan pengetahuan, sistem dan
teknologi yang begitu cepat saat ini sepertinya tidak
dapat terelakkan lagi. Selain itu teknologi ini sudah
menyentuh hampir semua kalangan di Indonesia. Hal ini
yang mendasari diperlukannya suatu aplikasi mengenai
sistem diagnosis penyakit selain untuk mengatasi
kendala dan keterbatasan di dunia kesehatan [13].
Pada makalah ini disajikan yang berisi survei metode
dan sistem yang telah dikembangkan untuk prediksi
penyakit yaitu dengan review berbagai sumber penelitian
yang membahas tentang metode prediksi penyakit.
Dengan adanya beberapa metode yang digunakan dapat

meningkatkan kinerja pelayanan kesehatan serta dapat


mengurangi timbulnya bahaya yang disebabkan oleh
gejala penyakit karena telah dapat dideteksi dengan lebih
cepat dan juga mampu memantau penyebaran penyakit
di lokasi geografis tertentu.

2. Penggolongan Penyakit
Pada
data
survei
ini
penyakit
dikelompokkan menjadi 2 kelompok yaitu :
a. Penyakit Tropis
Penyakit tropis merupakan penyakit menular dan
sangat beresiko tinggi bagi manusia. Terlebih pada
negara berkembang seperti Indonesia, penyakit tropis
hampir tidak dapat dikendalikan yang ditandai dengan
banyaknya kejadian luar biasa (KLB). Penyakit tropis
disangga oleh beberapa faktor penularan seperti faktor
parasit penyakit (agent)dan pejamu penyakit
(host)[15]. Pada makalah ini penyakit tropis yang
dibahas adalah penyakit demam berdarah, malaria, flu
burung, kusta, filariasis, TBC, flu, diare, tifus.
b. Penyakit Non Tropis
Penyakit non tropis merupakan penyakit diluar dari
penyakit tropis.
Pada makalah ini penyakit non tropis yang dibahas
adalah
epidemiologi,
hepatitis,
pneumonia,
gastroenteric, diabetes melitus, kehamilan, THT,
Ginjal.

| Seminar Nasional Embedded Systems, Bandung 20 September 2012

35

3. Data Pengelompokan
Prediksi Penyakit

Survei

Metode

Tabel 1. Data pengelompokan survei metode prediksi


penyakit

Setelah diamati masih sedikitnya studi pembahasan


survei metode prediksi penyakit dan juga untuk
36

mempermudah pendataan maka dilakukan survei


beberapa studi mengenai pengelompokan survei metode
prediksi penyakit dimana pengelompokan survei ini
dibagi beberapa bagian. Adapun data pengelompokan
survei metode prediksi penyakit dapat diditunjukkan
pada Tabel 1.
Untuk pengelompokan survei metode penyakit dibagi
beberapa bagian yaitu:
1. Jenis penyakit dipisahkan antara penyakit tropis dan
penyakit non tropis
2. Klasifikasi penyakit, terdiri dari prediksi penyakit dan
lainnya dimana yang lainnya terdiri dari penyebaran
penyakit, penanganan serta pengobatan, pelaporan,
penyebaran artikel, jenis gangguan pada anak, dan
penatalaksanaan penyakit.
3. Kajian menggunakan image atau tidak menggunakan
image, terdiri dari pembahasan dengan menggunakan
metode dan tidak menggunakan metode pada setiap
studi.
4. Sistem yang digunakan, dijelaskan aplikasi yang
digunakan pada pembahasan setiap studi.
4. Penyakit Tropis
Dari makalah yang diamati kajian penelitian makalah
yang paling banyak digunakan yaitu kajian yang tidak
menggunakan image yaitu studi Lizda [6], Fariz dkk.
[15], Ishak [5], Kusrini & Sri Hartati [9], Ery dkk. [20]
Kusrini [8].
Studi Lizda [6] menggunakan metode tsukamoto
dengan sistem inferensi fuzzy. Hasilnya sistem inferensi
fuzzy dapat dijadikan sebagai salah satu solusi dalam
pembuatan peta digital yang melibatkan sejumlah data
yang bersifat tidak tegas/pasti dan output dari penelitian
ini adalah peta yang dapat memberikan informasi tentang
pola penyebaran penyakit DBD berdasarkan gradasi
warna yang diperoleh dari proses inferensi fuzzy.
Fariz dkk. [15] menggunakan case based reasoning
dengan sistem berbasis Web. Pada dasarnya CBR akan
membandingkan suatu kasus baru dengan kasus-kasus
yang lain yang sudah tersimpan sebelumnya. Hasilnya
dengan menggunakan metode CBR merupakan metode
yang tepat dalam proses pengelolaan knowledge
management system penyakit tropis ini karena mampu
mengelola knowledge secara berkesinambungan.
Pada studi Ishak [5] menggunakan analisis
bibliometrika dan menggunakan WinISIS 1.31 dimana
definisi bibliometrika sebagai kajian penggunaan
dokumen dan pola publikasi dengan menerapkan metode
matematika dan statistik. Tujuan analisis bibliometrika
ini dilakukan untuk mengetahui perkembangan hasil
penelitian malaria di Indonesia yang diterbitkan pada
jurnal internasional tahun 1970 sampai april 2004. Hasil
penyebaran artikel penyakit malaria terbanyak terdapat
pada Am. J. Trop.Med. (16,8%) dan Southeast Asian J.
Trop (16,4%). Hasil penelitian penyakit malaria di
Indonesia umumnya dilakukan secara kolaborasi (82%).
Studi Kusrini & Sri Hartati [9] menggunakan
algoritma C.45, yaitu untuk membentuk pohon
keputusan diagnosis penyakit dimana akan dicari
variabel-variabel yang ada dalam data rekam medis
pasien yang mungkin mempengaruhi variabel tujuan
yaitu diagnosis penyakit. Sistem yang digunakan adalah
borland delphi. Hasilnya model case based reasoning

| Seminar Nasional Embedded Systems, Bandung 20 September 2012

dapat digunakan sebagai metode akuisisi pengetahuan


dalam aplikasi sistem pakar diagnosis penyakit. Aturan
yang dihasilkan sistem ini mampu digunakan untuk
mendiagnosis penyakit didasarkan pada data-data pasien.
Ery dkk. [20] menggunakan interpolation search,
yaitu algoritma pencarian yang lebih efisien daripada
algoritma binary dan sequential search dan
menggunakan sistem aplikasi J2ME. Dari
hasil
pengujian untuk looping sebanyak 1 rata-rata waktu
yang diperlukan 26,2 mikrodetik untuk kombinasi
ACEGJK. Sedangkan pengujian dengan looping
sebanyak 4 rata-rata waktunya 50,4 mikrodetik untuk
kombinasi JUVXY. Dari hasil pengujian rata-rata
waktu koneksi tercepat yaitu 6,64 detik untuk gejala
Diare. Sedangkan waktu koneksi terlama yaitu 10,56
detik untuk gejala Influenza. waktu koneksi yang
dilakukan bisa lebih cepat atau lebih lama dari
pengujian ini dikarenakan faktor lain misalnya
kondisi jaringan dari perangkat mobile yang dipakai,
lokasi geografis saat pengujian, spesifikasi ponsel,
dan sebagainya. Untuk pengujian dari sistem ini
dilakukan di daerah klampis ngasem kota Surabaya,
dengan koneksi jaringan menggunakan GPRS pada
ponsel Nokia C2-01. Dari data yang diperoleh melalui
kuesioner, 80% responden tertarik untuk menggunakan
aplikasi ini dan sebanyak 85% responden terbantu
dalam mengetahui secara dini tentang penyakit tropis.
Dan berdasarkan kuesioner dari dokter sebanyak 67%
dokter berpendapat aplikasi ini cukup membantu
dalam pemerataan pelayanan kesehatan di masyarakat
dan layak untuk diaplikasikan 83%.
Kusrini [8] menggunakan metode Kuantifikasi
pertanyaan dan pemrograman Delphi. Metode ini telah
diterapkan dalam aplikasi sistem pakar untuk menangani
penyakit TBC pada anak. Hasilnya dengan menggunakan
metode kuantifikasi pertanyaan untuk menentukan CF
pengguna, telah dapat diimplementasikan dengan baik
dalam aplikasi sistem pakar untuk menangani penyakit.
Metode ini juga memudahkan pengguna dalam
memberikan jawaban terkait dengan besarnya nilai
kepercayaan terhadap gejala yang dialami.
Untuk studi yang tidak menggunakan metode
termasuk studi Bowo dkk. [14] dan menggunakan sistem
web mining. Sistem web mining yang dikembangkan
memungkinkan analisa dan visualisasi penyebaran
penyakit menular yang tercatat di situs-situs di internet
secara otomatis dan efisien, Nutch dibagian hulu yang
bertugas sebagai pengumpul data dari internet akan
memasok data tersebut kepada program text mining
dengan NLP (Natural Language Processing) yang akan
menganalisa pola penyebaran penyakit. Akhirnya
menyerahkan hasilnya kepada Google Earth di hilir
untuk ditampilkan secara spatio temporal. Tersedianya
sistem spatio temporal seperti ini yang mampu
memantau penyebaran penyakit dilokasi geografis
tertentu pada suatu kurun waktu adalah kebutuhan vital.
Dengan sistem yang mampu memberikan informasi yang
jelas, di daerah mana suatu penyakit menyebar dan
seberapa jauh tingkat penyebarannya, maka para
pengambil kebijakan mampu
memprediksi pola
penyebaran dari penyakit tersebut dan sedini mungkin
mengidentifikasikan daerah yang rawan terjangkiti oleh
penyakit menular itu.

Adi [22] menggunakan sistem informasi geografis,


SIG mampu mengelola data secara keruangan tentang
sebaran/distribusi data ditunjang dengan kemampuan
analisis datanya secara komprehensif terkait lokasi
kejadian penyakit. Distribusi lokasi risiko penyakit dan
risiko lingkungan dengan menggunakan pendekatan SIG
berguna dalam hal identifikasi wilayah risiko, peringatan
dan kewaspadaan dini terhadap kejadian DBD dimasa
yang akan datang.
Ragil & Ahmad [17] menggunakan web service
dimana web service dibangun dari tiga komponen utama,
yaitu service provider, service registry, dan service
requestor. Hasil ini disimpulkan bahwa Integrasi sistem
puskesmas dengan sistem dinas kesehatan berhasil
dibangun dengan teknologi web service dan telah
dilakukan uji coba pada mesin yang berbeda dengan
platform basis data MYSQL dan PostGreSQL. Dengan
pemanfaatan auto refresh pada sistem puskesmas dan
sistem dinkes menjadikan sistem akan lebih up-to-date
dalam menyediakan data laporan kejadian DBD dan
Integrasi data melalui web service yang dipadukan
dengan peta dengan SVG menjadikan sistem lebih
dinamis terhadap perubahan data, serta dapat
memberikan informasi visual strata endemis melalui
tampilan pada peta.
Terdapat dua studi membahas diagnosis penyakit
yaitu studi Kusrini & Sri Hartati [9] dan Ery dkk. [20].
Untuk studi Bowo dkk. [14], Adi [22] dan Lizda [6]
mengenai penyebaran penyakit tropis, penanganan serta
pengobatan yaitu studi Fariz dkk. [15], studi Ragil dan
Ahmad [17] mengenai pelaporan, studi Ishak [5]
penyebaran artikel.
Kajian penelitian makalah hanya ada satu studi yang
menggunakan image yaitu terdapat pada studi Supatman
[19] untuk prediksi penyakit dengan menggunakan
image stretching. Untuk mendapatkan citra yang kontras
dimana dengan segmentasi pada citra ROI (Reference Of
Interest) menggunakan threshold value 180 pada interval
piksel 0-255 dan hasil deteksi menunjukkan pembesaran
kelenjar getah bening pada posisi lapangan bawah paru
hal ini sesuai dengan referensi peta PKTB. Mapping
citra ROI dengan data citra menunjukkan kesesuaian
dengan referensi ciri khas diagnosa penyakit PKTB.
Beberapa penyakit tropis yang dibahas yaitu
penyakit demam berdarah dimana untuk penyakit
malaria, demam berdarah dan flu burung yaitu studi
Bowo dkk [14]. Penyakit demam berdarah studi adi [22],
lizda [6], ragil dan ahmad [17]. Studi fariz dkk [15]
penyakit kusta, filariasis, malaria, demam berdarah,
dan flu burung. Studi ishak [5] mengenai penyakit
malaria. Kusrini & sri hartati [9] penyakit tuberculosis
pada anak. Ery dkk [20] tuberculosis, influenza, diare,
tifus, Kusrini [8] penyakit TBC pada anak dan Supatman
[19] membahas penyakit primer kompleks Tuberkulosis.
5. Penyakit Non Tropis
Dari makalah yang dicermati kajian penelitian
makalah yang digunakan hanya kajian yang tidak
menggunakan image yaitu studi studi Chandra & Sri [13]
dan Feri & Ami [16] menggunakan metode certainty
factor yang menunjukkan ukuran kepastian terhadap
suatu fakta dan studi Chandra & Sri [13] menggunakan
J2ME untuk memprediksi penyakit Hepatitis A,B,C.

| Seminar Nasional Embedded Systems, Bandung 20 September 2012

37

Hasil penelitian bahwa aplikasi diagnosis penyakit


Hepatitis ini dapat membantu pasien dalam memperoleh
informasi jenis penyakit hepatitis secara cepat, sehingga
dapat segera dilakukan tindakan dan pelayanan medis.
Dengan
menggunakan
J2ME
sebagai
bahasa
pemrograman memberikan keuntungan pada penulisan
kode program yang sama persis untuk produk yang
berbeda (write once, run anywhere).
Feri & Ami [16] menggunakan aplikasi berbasis web
dengan aplikasi yang digunakan dapat menentukan jenis
gangguan perkembangan pada anak dibawah umur 10
tahun dengan hanya memperhatikan gejala-gejala yang
dialami. Aplikasi ini juga mampu menyimpan
representasi pengetahuan berdasarkan nilai kebenaran
MB dan nilai ketidakbenaran MD. Aplikasi sistem pakar
ini dapat menjelaskan definisi, jenis gangguan
perkembangan,
penyebab
dan
pengobatannya.
Kekurangan dari aplikasi ini adalah belum adanya
pengelompokan gejala-gejala sejenis yang hanya boleh
dipilih satu dari kelompok gejala tersebut. Akibatnya,
jika user kurang teliti dalam memilih gejala, maka
sistem akan memberikan kesimpulan yang kurang benar.
Stefani dkk [4] menggunakan metode kriging.
Kriging merupakan sebuah teknik interpolasi yang
didasarkan pada regresi terhadap suatu observasi dari
data di sekitar observasi tersebut. Sistem menggunakan
geostatistika penyebaran penyakit Pneumonia. Disini
kita memetakan lokasi penyakit Pneumonia di Surabaya
dan menganalisis kelangsungan hidup penderita dan
memprediksi penyebaran penyakit dengan menggunakan
Geostatistika, dimana geostatistika merupakan bagian
dari statistika spasial. Cressie menyatakan bahwa spatial
data merupakan data yang nilainya berkaitan dengan
posisi relatifnya terhadap suatu titik. Pada sistem ini
dapat mengungkapkan bahwa setelah 45 hari di rumah
sakit, kelangsungan hidup pasien Pneumonia yang turun
menjadi 46,8%. Pada hasil akhir kontur kriging, dapat
dilihat bahwa pada wilayah Surabaya Barat proporsi
penderita
Pneumonia
cenderung
lebih
tinggi
dibandingkan dengan area lainnya di Surabaya. Selain
itu, wilayah Surabaya Timur juga menunjukkan proporsi
yang cukup tinggi, walaupun tidak setinggi proporsi
pada wilayah Surabaya Barat. Pada hasil akhir analisa
Kriging, dapat diketahui bahwa pusat penyebaran
Pneumonia
berada
didaerah
Tubanan
dan
Sukomanunggal dengan radius penyebaran penyakit ini
sekitar 600 meter persegi.
Peter dkk [12] menggunakan MALA (Metropolis
Adjusted Langevin Algorithm) dan menggunakan
AEGISS (Ascertainment and Enhancement of
Gastrointestinal Infection Surveillance and Statistics)
penyebaran penyakit Gastroenteric. Dalam sistem ini kita
telah menggambarkan bagaimana metode statistik spasial
dapat membantu untuk mengembangkan sistem online
surveilans untuk penyakit umum. Analisis statistik
spasial dilaporkan di sini dimaksudkan untuk
melengkapi, bukan untuk menggantikan, protokol yang
ada. Dengan sistem yang dibangun mampu memberikan
informasi yang jelas, di daerah mana suatu penyakit
menyebar dan seberapa jauh tingkat penyebarannya,
maka para pengambil kebijakan mampu memprediksi
pola penyebaran dari penyakit tersebut dan sedini
38

mungkin mengidentifikasikan daerah yang rawan


terjangkiti oleh penyakit.
Sri kusumadewi [7] dan Syaiful [11] menggunakan
metode case based reasoning dimana pada dasarnya
CBR akan membandingkan suatu kasus baru dengan
kasus-kasus yang lain yang sudah tersimpan sebelumnya.
Sri kusumadewi [7] menggunakan Sistem inferensi
Fuzzy (SIF) pada penatalaksanaan Diabetes Melitus.
Pada sistem yang dibangun mendapatkan tingkat resiko
DM diperlukan suatu mekanisme inferensi dengan
mempertimbangkan faktor-faktor tersebut, pada model
yang diusulkan digunakan pendekatan basis aturan. SIF
digunakan untuk kepentingan tersebut, sistem yang
dibangun dengan basis data yang terpusat ini
memungkinkan para pengguna untuk berbagi data
meskipun beberapa aplikasi dibangun dengan platform
yang berbeda.
Syaiful [11] penggunaan teknologi web akan
memberikan kreasi dan implementasi pada teknologi
cerdas berbasis web, sehingga kemudahan untuk diakses
dimanapun dan kapanpun, dan diharapkan mampu
memberikan banyak informasi tentang penyakit
kehamilan dan dapat meningkatkan pelayanan kesehatan
dengan mengurangi biaya dan waktu. Hasilnya dengan
pemanfaatan penalaran berbasis kasus dalam diagnosis
penyakit pada kehamilan diharapkan dapat membantu
dokter kandungan dalam mengambil keputusan terkait
dengan penyakit pada kehamilan. Kelemahan pada
penalaran berbasis kasus membutuhkan metode
pencarian yang cepat dan tempat penyimpanan kasus
yang besar jika data yang disimpan sangat banyak.
Aprilia & Taufik [18] menggunakan metode
dempster shafer dan adanya akses online berbasis web.
Aplikasi sistem pakar untuk mendiagnosa penyakit ginjal
adalah suatu aplikasi untuk mendiagnosa penyakit ginjal
berdasarkan pengetahuan dari para pakar. Dengan
adanya akses online berbasis web maka masyarakat
dapat mendiagnosa kemungkinan penyakit ginjal yang
dideritanya sebelum mengambil tindakan lebih lanjut
seperti konsultasi ke dokter atau tes laboratorium di
rumah sakit. Nilai kepercayaan yang dihasilkan dari
sistem ini sama dengan hasil perhitungan secara manual
dengan menggunakan teori Dempster-Shafer sehingga
keakuratan hasilnya sudah sesuai dengan perhitungan
yang diharapkan.
Studi yang tidak menggunakan metode terdapat pada
studi Geoffrey [2], studi Geoffrey [2] merangkum
kontribusi dari GIS dalam epidemiologi, dan
mengidentifikasi kebutuhan yang diperlukan untuk
mendukung epidemiologi spasial sebagai ilmu. Tujuan
epidemiologi spasial adalah untuk mengidentifikasi
penyebab penyakit dan berkorelasi dengan pola penyakit
yang berkaitan spasial untuk variasi geografis dalam
risiko kesehatan. GIS mendukung pemetaan penyakit,
analisis lokasi, karakterisasi populasi, dan statistik
spasial dan pemodelan. Meskipun patut dipuji, prestasi
ini tidak cukup untuk sepenuhnya mengidentifikasi
penyebab penyakit dan berkorelasi.
Mika [10] memberikan pandangan yang luas dari
penggunaan GIS, pemetaan penyakit dan metode statistik
spasial dalam studi geografis dan epidemiologi
terjadinya penyakit. GIS mampu menggunakan data
satelit penginderaan jauh, dan lokal GPS adalah cara

| Seminar Nasional Embedded Systems, Bandung 20 September 2012

yang layak untuk menangkap data spasial. Animasi


dengan GIS, misalnya, merupakan metode yang efektif
menggambarkan penyebaran penyakit atau mundur atas
ruang dan waktu. Kebangkitan penyakit menular baru
dan lama adalah menantang prestasi di bidang kesehatan.
Seperempat dari seluruh kematian dan 30% dari beban
global penyakit yang disebabkan oleh penyakit infeksi.
GIS dapat menjadi alat yang efektif bagi otoritas
kesehatan masyarakat dan epidemiologi dalam
menunjukkan dan pemantauan pola difusi penyakit
menular dan dalam mencari agen infeksius. GIS dapat
mengungkapkan variasi spasial dan pola distribusi
penyakit non-menular yang lebih efektif.
Studi Lina & Tole [3] Sistem yang didesain dengan
e2gLite Expert System Shell untuk diagnosis penyakit
THT dapat bekerja sesuai yang diharapkan. Sistem
dapat mengidentifikasikan 23 jenis penyakit THT
berdasarkan variasi input 38 gejala yang diberikan.
Sistem juga dilengkapi dengan fasilitas yang
memungkinkan pengguna memiliki kesempatan untuk
mengetahui mengapa sebuah pertanyaan diajukan dan
meminta penjelasan bagaimana sebuah kesimpulan
diambil.
Dari makalah yang diamati untuk studi Chandra &
Sri [13], Syaiful [11], Lina & Tole [3], Aprilia & Taufik
[18] membahas diagnosis penyakit. Stefani dkk [4], Peter
dkk [12], Geoffrey [2], Mika [10] membahas mengenai
penyebaran penyakit. Studi Feri & Ami [16] membahas
menentukan jenis gangguan perkembangan pada anak.
Studi Sri kusumadewi [7] membahas penatalaksanaan
Diabetes Melitus.
Dari beberapa makalah yang menggunakan aplikasi
berbasis web yaitu studi Syaiful [11], Lina & Tole [3],
Aprilia & Taufik [18] dan Feri & Ami [16].
Sedangkan yang lainnya untuk studi Chandra & Sri
[13], Stefani dkk [4], Peter dkk [12], Geoffrey [2], Mika
[10], Sri kusumadewi [7], tidak menggunakan berbasis
Web.
Dari penjelasan diatas ternyata kajian penelitian
makalah yang tidak menggunakan image untuk prediksi
penyakit non tropis. Terdapat empat studi dimana
keempatnya menggunakan metode yaitu studi Chandra &
Sri [13], Syaiful [11], Lina & Tole [3], Aprilia & Taufik
[18]. Studi yang menggunakan aplikasi berbasis Web
hanya tiga yaitu Syaiful [11], Lina & Tole [3] dan
Aprilia & Taufik [18]. Studi Chandra & Sri [13] tidak
menggunakan aplikasi berbasis Web.
Beberapa penyakit non tropis yang dibahas yaitu
epidemiologi pada studi Geoffrey [2], Mika [10]. Untuk
studi Chandra & Sri [13] penyakit hepatitis. Untuk studi
Feri & Ami [16]menentukan jenis gangguan
perkembangan pada anak. Stefani dkk [4] membahas
penyakit Pneumonia. Untuk studi Peter dkk [12]
penyakit Gastroenteric. Studi Sri kusumadewi [7]
penyakit diabetes melitus. Studi Syaiful [11] penyakit
kehamilan. Studi Aprilia & Taufik [18] membahas
penyakit ginjal dan studi Lina & Tole [3] penyakit THT.

6. Kesimpulan dan Saran


6.1. Kesimpulan
Dari uraian perkembangan untuk data survei metode
penyakit, dapat disimpulkan sebagai berikut :
1. Pada makalah ini survei dilakukan pendekatan metode
dan sistem yang telah dikembangkan pada prediksi
penyakit.
2. Setiap metode yang digunakan untuk prediksi penyakit
mempunyai kelebihan dan kelemahan masing-masing
dan sangatlah beragam sehingga mempunyai hasil
tingkat akurasi yang berbeda. Akan sangat baik untuk
prediksi penyakit menggabungkan beberapa metode,
kemungkinan akan menghasilkan yang lebih optimal.

6.2. Saran
Dengan dibuatnya data survei metode prediksi
penyakit, untuk selanjutnya bisa dikembangkan dengan
menggunakan metode lain dalam hal pembandingan
metode yang diamati untuk penyakit tropis dan non
tropis.

7. Pustaka
[1] Ellen, Taylor, Carol Hermann, 2000, Collecting
Evaluation Data : Surveys, University of
Wisconsin-Extension
Cooperative
Extension
Madison, Wisconsin
[2] Geoffrey M., Jacquez, 2000, Spatial Analysis In
Epidemiology : Nascent Science Or a Failure Of
GIS ?, Journal of Geographical Systems, 2:91-97.
[3] Handayani, Lina., Sutikno, Tole., Sistem Pakar
untuk Diagnosis Penyakit THT Berbasis Web
dengan e2gLite Expert System Shell.
[4] Hartanto, Stefanie., dkk, 2010, Pemetaan penderita
Pneumonia Di Surabaya Dengan Menggunakan
Geostatistik, Jurnal Teknik Industri, Vol. 12 No. 1,
41-46, ISSN 1411-2485
[5] Ishak, 2005, Analisis Bibliometrika Terhadap
Artikel Penelitian Penyakit Malaria Di Indonesia
Tahun 1970-April 2004 Menggunakan Database
Online Pubmed, Jurnal Studi Perpustakaan dan
Informasi Vol. 1, No. 2
[6] Iswari, Lizda., 2008, Pemanfaatan Sistem Inferensi
Fuzzy Dalam Pengolahan Peta Tematik (Studi
Kasus : Sistem Informasi Geografis Daerah Rawan
Penyakit Demam Berdarah), SNATI Yogyakarta,
ISSN : 1907-5022.
[7] Kusumadewi, Sri., 2009, Aplikasi Informasi Medis
untuk Penatalaksanaan Diabetes Melitus secara
terpadu, SNATI Yogyakarta, ISSN : 1907-5022
[8] Kusrini, 2006, Kuantifikasi Pertanyaan untuk
Mendapatkan Certainty Factor Pengguna pada
Aplikasi Sistem Pakar Untuk Diagnosis Penyakit,
KOMMIT, ISSN : 1411-6286
[9] Kusrini, Hartati, Sri., Penggunaan Penalaran
berbasis kasus untuk Membangun Kasus
Pengetahuan dalam Sistem Diagnosis Penyakit.

| Seminar Nasional Embedded Systems, Bandung 20 September 2012

39

[10] Mika, Rytkonen, 2004, Not All Maps Are Equal :


GIS And Spatial Analysis In Epidemiology,
International Journal of Circumpolar Health, 63 : 1
[11] Muzid, Saiful., 2008, Teknologi Penalaran
Berbasis Kasus (Case Based Reasoning) untuk
Diagnosa
Penyakit
Kehamilan,
SNATI
Yogyakarta, ISSN : 1907-5022
[12] Peter, Diggle., Barry, Rowlingson., dkk, 2004,
Point Process Methodology for On-line Spatiotemporal Disease Surveillance.
[13] Pradana, Chandra Putra., Kusumadewi, Sri., 2007,
Aplikasi Diagnosis Penyakit Hepatitis Untuk
Mobile Devices Menggunakan J2ME, Media
Informatika, Vol. 5 No.2 , 87-98, ISSN : 08544743
[14] Prasetyo, Bowo., dkk, 2008, Desain Sistem Analisa
Spatio-Temporal Penyebaran Penyakit Tropis
Memakai Web Mining, Konferensi Nasional Sistem
& Informatika, pp 44-49, The Best Paper Award
[15] Putra, Fariz Ihsan., dkk, Perancangan Knowledge
Management System Dalam Penanganan Penyakit
Tropis Dengan Pemenuhan Prinsip Ergonomi
Kognitif.
[16] Rohman, Feri Fahrur., Fauzijah, Ami., 2008,
Rancang Bangun Aplikasi Sistem Pakar Untuk
Menentukan Jenis Gangguan Perkembangan Pada
Anak, Media Informatika, ISSN : 0854 4743
[17] Saputra, Ragil., Ashari, Ahmad., 2011, Web
Services Implementation On The Report Of Dengue
Hemorrhagic Fever (DHF) At Health Office
Karanganyar, IJCCS, Vol. 5 No. 1.
[18] Sulistyohati, Aprilia., Hidayat, Taufik., 2008,
Aplikasi Sistem Pakar Diagnosa Penyakit Ginjal
Dengan Metode Dempster Shafer, SNATI
Yogyakarta, ISSN : 1907-5022

40

[19] Supatman, 2009, Deteksi Pembesaran Kelenjar


Getah Bening Pada Paru dengan Pengolahan
Citra Digital Untuk Mendiagnosa Penyakit Primer
Kompleks
Tuberkulosis
(PKTB),
SNATI
Yogyakarta, ISSN : 1907-5022
[20] Suprapta, Ery Cahya., dkk, Aplikasi Metode
Interpolation Search Untuk Mendeteksi Penyakit
Tropis.
[21] T. Warren Liao, 2005, Clustering of time series
data-survey, patern recognition 38 (2005) 18571874.
[22] Widagdo, Adi., 2008, Aplikasi Sistem Informasi
Geografis Dalam Pemetaan DBD Di Yogyakarta,
Jurnal Kebencanaan Indonesia, Vol. 1 No. 5, ISSN
1978-3450

8. Daftar Pertanyaan
1.

2.

| Seminar Nasional Embedded Systems, Bandung 20 September 2012

Penanya: Wawan Wardiana (LIPI)


Pertanyaan: Disebutkan bahwa salah satu
kelemahan sistem adalah tidak adanya tombol
edit, apakah fungsi dari tombol edit tersebut?
Jawaban: untuk mengubah data pada aplikasi
mobile.
Penanya: Wiwin Suwarningsih (LIPI)
Pertanyaan:
Apabila
menyediakan
informaasi untuk publik tidak diperlukan
tombol edit
Jawaban: Untuk pengembangan penelitian
ini diperlukan data dengan fungsi button edit
Pertanyaan: Algoritma data mining ?
Jawaban: akan diteliti lebih lanjut

Pengembangan Komunitas Sistem Embedded dan Robotika


di Universitas Diponegoro
Adian Fatchur Rochim

Eko Didik Widianto

Program Studi Sistem Komputer - Fakultas Teknik,


Universitas Diponegoro
adian@undip.ac.id

Program Studi Sistem Komputer - Fakultas Teknik,


Universitas Diponegoro
didik@undip.ac.id

Abstract
This paper presents the development of embedded systems and robotics community in Department of Computer
System Engineering, Diponegoro University. Requirements in order to be a sustainable and growing community are
identified. Internal processes running in the community are developed to produce excelence output in the form of
human resources, products and system solutions. Processes and outcomes that have been produced are design process
of line follower robot using KiCAD software and distributed sensing system for precision farming.
Keywords: R&D community, embedded system, robotic, distributed sensor, FPGA

Abstrak
Makalah ini memaparkan pengembangan komunitas sistem embedded dan robotika di Program Studi Sistem
Komputer, Universitas Diponegoro. Kebutuhan yang diperlukan agar komunitas dapat berkelanjutan dan bertumbuh
diidentifikasi. Proses internal yang berjalan di komunitas dikembangkan untuk menghasilkan luaran berkualitas berupa
sumber daya manusia, produk dan solusi sistem. Proses dan luaran yang telah dihasilkan adalah berupa proses desain
robot pengikut garis menggunakan perangkat lunak KiCAD dan sistem sensor terdistribusi nirkabel untuk aplikasi
pertanian presisi.
Kata kunci: komunitas penelitian dan pengembangan, sistem embedded, robotika, sensor terdistribusi nirkabel, FPGA

1. Pendahuluan
Kemandirian suatu bangsa, terutama dalam bidang
teknologi, ditentukan oleh kapasitas sumber daya
manusianya (SDM). SDM yang berkualitas, berwawasan
keilmuan dan terampil/ahli ini salah satunya dihasilkan
oleh perguruan tinggi, khususnya Universitas
Diponegoro (Undip)[1].
Program Studi Sistem Komputer Undip (Siskom)
sendiri telah mempunyai visi dan misi untuk
menghasilkan SDM yang mempunyai kompetensi
keilmuan dan keahlian di bidang teknologi sistem
komputer, melalui kegiatan pendidikan, penelitian dan
pengabdian masyarakat[2][3]. Salah satu bidang yang
hendak disasar adalah teknologi sistem embedded dan
robotika (Gambar 1).
Upaya yang telah dilakukan untuk menghasilkan
SDM yang kompeten dan ahli di bidang sistem
embedded dan robotika dilakukan di laboratorium
Sistem Embedded dan Robotika Siskom (RESLab), yaitu
melalui kegiatan praktikum dan penelitian.
RESLab akan mempunyai potensi yang besar untuk
menghasilkan SDM yang berkualitas. Hal ini disebabkan
tersedianya masukan berupa mahasiswa yang
berpengetahuan. Secara alamiah, tiap tahun kemampuan
dan pengetahuan mahasiswa bertambah secara dinamis
seiring proses pembelajaran materi kuliah yang
didapatkannya sesuai kurikulum (Gambar 2). Selain itu,
adanya insentif untuk membiayai penelitian dosen yang
melibatkan
mahasiswa
akan
membuat
peran
laboratorium menjadi vital dalam mewujudkan satu
komunitas riset dan pengembang yang unggul dan
dinamis.

Visi

Menjadi Program Studi yang Unggul di bidang Sistem Komputer


di Tingkat Nasional Berbasis Riset pada Tahun 2020

Misi

Menyelenggarakan pendidikan formal Strata 1 untuk menghasilkan


Sarjana Teknik Komputer yang berkualitas, mempunyai keahlian
dan siap bersaing di pasar kerja nasional maupun internasional, dan
mampu menciptakan peluang kerja baru;
Mendorong dan menumbuhkembangkan kegiatan riset di bidang
Sistem Komputer dan publikasi hasil-hasil penelitian;
Melaksanakan pengabdian kepada masyarakat dan
mendiseminasikan perkembangan teknologi dan hasil penelitian;

(Pendidikan,
Penelitian,
Pengabdian
Masyarakat)

Mampu mengidentifikasi, memformulasikan, dan memecahkan


masalah-masalah perancangan di bidang sistem komputer
Mampu mengimplementasikan hasil-hasil penyelesaian masalah
dan wawasan yang luas dalam kehidupan bermasyarakat
Mampu beradapatasi terhadap perkembangan teknologi informasi
yang cepat dan inovasi-inovasi baru
Mampu berkomunikasi dan bekerjasama secara efektif
Memiliki rasa tanggung jawab dan etika profesi yang baik

Kompetensi
Utama

Kompetensi
Pendukung
Sesuai Minat

Sistem Tertanam
& Robotika

Jaringan &
Internet

Rekayasa
Perangkat Lunak

Gambar 1. Visi, misi dan kompetensi utama yang hendak


disasar di Prodi Sistem Komputer, Fakultas Teknik Undip

Pertanyaan penelitian yang timbul adalah bagaimana


membangun laboratorium ini sebagai wadah komunitas
tersebut di atas yang dinamis, berkesinambungan dan
dapat bertumbuh, baik dalam kompetensi keilmuan,
penguasaan teknologi dan keahliannya? Bagaimana
mengelola komunitas tersebut agar menjadi pilar dalam
mewujudkan perguruan tinggi (Undip) sebagai
universitas riset?
Dalam makalah ini dijabarkan upaya dan hasil
pengembangan komunitas riset dan pengembang di
RESLab, bernama komunitas Robotic & Embedded
System Research & Technology Group (RESRTG,

| Seminar Nasional Embedded Systems, Bandung 20 September 2012

41

selanjutnya disebut grup sistem embedded). Pembahasan


makalah meliputi pengenalan RESRTG, upaya
pengembangan dan luaran yang telah dihasilkan oleh
grup ini.
Lulusan Sistem
Komputer
Tingkat 4
Perkuliahan

Dosen sbg
Pengajar

Lulusan Sistem
Komputer

Tingkat 3
Masyarakat,
Komunitas
Ilmiah

Praktikum
Penelitian

dan teknologi yang lebih cepat dan membesar. Grup


menjalin kerja sama dalam pengembangan produksolusi-sistem embedded dengan grup riset lain dan
produsen mikrokontroler, FPGA, misalnya Atmel[5],
Intel[6] dan Xilinx[7]. Pembentukan kluster riset-industri
ini akan mempersempit kesenjangan perspektif antara
penelitian-pengembangan
dengan
komersialisasiindustri.

Tingkat 2

Tingkat 1
Mahasiswa Baru

RTG Lain
Tugas akhir
Kerja praktek
Perkuliahan
Pelatihan/
workshop

Akumulasi dan Pengembangan


Ilmu & Teknologi

Gambar 2. Proses alih ilmu dan teknologi dari dosen ke


mahasiswa selama kegiatan pembelajaran

Praktikum
Penelitian
Dosen/Peneliti
Mahasiswa
RES-RTG

2. Grup Sistem Embedded Siskom


RESLAB akan dirancang, selain sebagai tempat
kegiatan praktikum, juga sebagai wadah bagi mahasiswa,
dosen/peneliti untuk mengakumulasi, mengembangkan
dan mendiseminasi pengetahuan dan keahlian tentang
sistem embedded dan robotika. Dengan kata lain,
RESLAB akan menjadi wadah komunitas dengan aktor
mahasiswa, dosen/peneliti (dan industri) yang dengan
pengetahuan dan keahliannya mampu mengembangkan
dan memberdayakan sumber daya lokal Indonesia serta
memperluas produk-produk unggulan dan menambah
nilai industri [4Komunitas RESRTG yang berkembang
dalam RESLAB harus bercirikan hal-hal sebagai berikut
agar mampu berkesinambungan dan bertumbuh, yaitu:
a. mempunyai visi, misi dan roadmap yang jelas yang
berorientasi pengembangan produk, sistem dan
solusi yang berbasis teknologi terbarukan (state-ofthe-art);
b. mempunyai rencana kegiatan yang berorientasi
pada penguasaan, pengembangan dan diseminasi
pengetahuan dan keahlian, melalui kegiatan
pelatihan dan workshop serta pengembangan
produk dan solusi untuk memecahkan problem di
masyarakat, yaitu industri, masyarakat ilmiah dan
lingkungan masyarakat umumnya;
c. menjadi motor dalam kluster riset dengan grupgrup riset lain baik untuk penguatan kompetensi
keilmuan maupun untuk menghasilkan solusi
secara multi-disiplin;
d. mampu membangun kemitraan dengan industri
baik dalam pengembangan bersama maupun
komersialisasi
hasil-hasil
penelitian
dan
pengembangan (techno-industrial clustering);
Dengan keempat hal tersebut di atas, proses internal
dalam komunitas akan berjalan. Komunitas bertumbuh
seiring peningkatan kapasitas keilmuan dan teknologi
yang
diperolehnya
melalui
penelitian
dan
pengembangan. Lulusan Sistem Komputer akan
mempunyai bekal yang memadai untuk berperan serta
dalam membangun kemandirian bangsa di bidang
teknologi sistem embedded (Gambar 3). Selain itu, dari
aktivitas grup akan terjadi putaran-putaran transfer ilmu
42

Lulusan: alumni komunitas


Lulusan berkontribusi dalam
mewujudkan kemandirian bangsa

Tingkat 4

Industri

Tingkat 3

Masyarakat

Tingkat 2

Tingkat 1
Akumulasi dan Pengembangan
Ilmu & Teknologi

Mahasiswa Baru

Gambar 3. Komunitas yang bertumbuh dalam penguasaan,


pengembangan dan pemanfaatan ilmu pengetahuan dan
teknologi

3. Pengembangan Grup
Kegiatan penelitian dan pengembangan RESRTG
mengacu pada roadmap yang telah dirumuskan bersama
dan terus berkembang (Gambar 4). Teknologi yang
hendak dikuasai dan dikembangkan mengarah ke empat
bidang prioritas, yaitu 1) robotika, 2) elektronika
industri, 3) sensor cerdas terdistribusi nirkabel, dan 4)
perangkat terprogram berbasis FPGA.
Robotics and Embedded System Laboratory Roadmap
2011 - 2015
Smart Robot

Humanoid

Multirotor System

Industrial PLC

Products
& Services

Supervisory PLC
Smart Sensing System
SoC Modules

Technology
Robotics

Microcompu
ter

Mobile Robot

Industrial
Electronics

Remote Terminal Unit


Interfacing

Smart
Sensing
VLSI

Lab Facilities
& Infrastructures

Digital System

Remote Sensing

FPGA

Vison Robot
Industrial control &
supervisory system
Sensing Network

Processor design

System on Chip

Practical Tools: Digital System, FPGA, Peripheral & Interface, Microsystem, Robotic
Rapid Prototyping Tools
Website

YEAR

Unmanned
System

2011

Laboratory Information
System

2012

2013

Integrated & Collaborative


ICT

2014

2015

Gambar 4. Roadmap Komunitas dalam Pengembangan


Produk Embedded

Pengembangan di bidang robotika dilakukan untuk


menghasilkan robot cerdas beroda dan berkaki, sistem
tak berawak berupa multirotor dan robot humanoid.
Teknologi yang perlu dikuasai adalah sistem
mikrokomputer dan kontrol, kinematik dan dinamik
robot serta machine vision.
Pengembangan elektronika industri mempunyai
sasaran
untuk
menghasilkan
produk
PLC
(Programmable Logic Controller). Perangkat PLC yang
dapat beroperasi di lingkungan industri (ekstrim dalam
suhu, kelembaban, vibrasi) hendak dihasilkan. PLC ini
mempunyai antarmuka pemrogram yang berorientasi

| Seminar Nasional Embedded Systems, Bandung 20 September 2012

Gambar 5. Papan rangkaian robot pengikut garis berbasis


ATMega32A
Kelembaban
Suhu
Unsur Hara
Sensor
Infrastruktur
Aktuator

Akuisisi
Data
Kontroller

Server Web
Storage
SMS
Server

Aktuator
Sensor-Aktuator &
Kontroller

Komunikasi

Aplikasi

Komunikasi

pengguna
dan
mengikuti
standar
IEC61131.
Pengembangan sistem sensor cerdas berupaya untuk
menghasilkan sistem monitor lingkungan meliputi suhu,
kelembaban udara, intensitas cahaya dan kualitas udara.
Sistem multisensor dikembangkan dengan komunikasi
secara nirkabel membentuk jaringan sensor.
Pengembangan divais terprogram berbasis FPGA
mempunyai sasaran untuk menghasilkan modul-modul
SoC (System on Chip), meliputi modul prosesor dan
peripheralnya. Modul-modul tersebut dikembangkan di
atas board Starter Kit Xilinx Spartan-3E[8].
Kegiatan riset dan pengembangan di RESLAB
ditopang oleh sarana dan prasarana penunjang yang
memadai dan terus ditingkatkan. Sarana penunjang
kegiatan penelitian telah tersedia, seperti perangkat
komputer kerja dengan sistem operasi Linux dan
program bantu desain menggunakan komputer
(EDA/CAD) yang legal, yaitu Kicad[9], untuk
merancang skematik dan menghasilkan layout berupa
file gerber untuk diproses menjadi papan rangkaian
(PCB). Perangkat untuk perakitan dan pengujian
prototipe produk juga telah tersedia, meliputi multitester,
osiloskop, penguji sinyal logika dan solder smd.
Pemanfaatan infrastruktur dan teknologi informasi
dan komunikasi (TIK) hendak dioptimalkan untuk
meningkatkan efisiensi, efektivitas dan produktivitas
kegiatan RESRTG, meliputi pengembangan situs, sistem
informasi laboratorium serta sistem TIK terintegrasi dan
kolaboratif.

Data
base
CPU
Ethernet

Pengguna

Gambar 6. Diagram aplikasi sistem embedded untuk


pertanian presisi

4. Hasil dan Pembahasan


Hingga tahun 2012, kegiatan yang telah dan sedang
dilakukan untuk membangun RESRTG adalah meliputi
a) pengembangan platform PLC dan perangkat
lunaknya[10], b) pengembangan produk pilot berbasis
mikrokontroler AVR 8-bit Atmel ATMega32A berupa
robot pengikut garis (Gambar 5), serta sistem pemantau
dan pengontrol cuaca (suhu, kelembaban udara dan
tanah) berbasis sensor terdistribusi untuk pertanian
presisi (Gambar 6), c) pengembangan modul SoC
menggunakan prosesor picoblaze di atas FPGA Xilinx
Spartan-3E untuk aplikasi DAC/ADC, generator dan
pencacah frekuensi serta generator PWM (Gambar 7)
dan d) pengembangan komputasi vision menggunakan
kamera di atas board ARM 32-bit berbasis OpenCV[11].
Teknologi ini digunakan untuk robot vision
menggunakan platform ROS (Robotics Operating
System)[12].
Pengembangan produk dan solusi sistem embedded
dan robotika di RESRTG menggunakan pendekatan topdown (Gambar 8). Metodologi desain produk tersebut
mulai dari spesifikasi, perancangan skematik dan layout
menggunakan program Kicad, perakitan komponen di
papan rangkaian dan pemrograman produk.

Gambar 7. Aktivitas mahasiswa di RESLAB untuk


mengembangkan modul SoC di FPGA

Gambar 8. Metodologi Desain Produk Mikrokomputer


Berbasis Sistem Embedded

| Seminar Nasional Embedded Systems, Bandung 20 September 2012

43

Portal web dan sistem manajemen proyek online


sebagai wadah komunikasi dan informasi grup juga telah
diluncurkan beralamat di http://embedded.undip.ac.id
(Gambar
9).
Sistem
manajemen
proyek
diimplementasikan
dengan
TheBugGenie .
Pengembangan infrastruktur TIK mengarah pada
implementasi sistem komputasi awan untuk memberikan
layanan-layanan berbasis web, ruang penyimpan data
file serta sumber daya komputasi untuk lingkung
pengembangan embedded Linux[13]. Luaran kegiatan
juga berupa materi pelatihan pengembangan produk
elektronika menggunakan Kicad sebagai skill dasar yang
perlu dimiliki oleh anggota komunitas. Materi ini telah
didifusikan ke siswa SMKN 4 Semarang dalam program
pengabdian masyarakat.
1

Gambar 9. Situs RESLAB sebagai wadah komunikasi


komunitas secara online

5. Penutup
Dalam makalah ini telah dijabarkan kegiatan
pengembangan RESRTG dan luaran yang telah
dihasilkan. Hasil kegiatan ini menjadi milestone untuk
menumbuhkan grup penelitian ini agar berkelanjutan dan
berkembang. Dan selanjutnya, hal ini akan memberikan
dampak secara luas untuk meningkatkan kompetensi
lulusan, sehingga mampu menghasilkan produk dan
solusi sistem yang dibutuhkan oleh masyarakat.

6. Ucapan Terima Kasih

[4] LPPM Undip, Buku Buku Panduan Pelaksanaan


Penelitian Universitas Diponegoro Tahun 2012,
2012
[5] Situc Atmel Inc., http://www2.atmel.com/ (28
Agustus 2012)
[6] Intel.com:
Intel's
Educational
Programs,
http://www.intel.com/about/corporateresponsibilit
y/education/programs/index.htm (28 Agustus
2012)
[7] Situs Xilinx, http://www.xilinx.com (6 September
2012)
[8] Xilinx Inc., Spartan-3E FPGA Starter Kit Board
(UG-230), 2008
[9] Situs
Open
Source
Kicad,
http://www.lis.inpg.fr/realise_au_lis/kicad/
(28
Agustus 2012)
[10] Adian F. Rochim, Eko D. Widianto, Framework
untuk Pengembangan Sistem Otomatisasi
Menggunakan Programmable Logic Controller,
Jurnal Sistem Komputer Vol.1 No.2 (2011).
http://jsiskom.undip.ac.id/index.php/jsk/article/vi
ew/16/17 s
[11] Situs
Komunitas
OpenCV,
http://opencv.willowgarage.com/ (28 Agustus
2012)
[12] Morgan Quigley, Brian Gerkey, Ken Conley,
Josh Faust, Tully Foote, Jeremy Leibs, Eric
Berger, Rob Wheeler, Andrew Ng, ROS: an
open-source Robot Operating System, 2010
[13] Eko D. Widianto, Model Cloud Komunikasi:
Kasus Sistem Telepon Internet, Konferensi ICT
Indonesia-eII 2010, Bandung, 2010

8. Daftar Pertanyaan
1.

2.

Kegiatan penelitian ini dibiayai dengan dana hibah


kompetitif sumber dana bantuan operasional perguruan
tinggi negeri (BOPTN) Undip Tahun 2012.

7. Daftar Pustaka
[1] Prospektus UNDIP 2010, Meniti Jalan Menuju
Universitas Kelas Dunia, 2010
[2] Kompetensi-Jurusan Teknis Sistem Komputer,
http://siskom.undip.ac.id/?page_id=252
(28
Agustus 2012)
[3] UU RI No.20 Tahun 2010, Sistem Pendidikan
Nasional, 2010

44

3.

| Seminar Nasional Embedded Systems, Bandung 20 September 2012

Penanya: Febriadi Santosa (Poltek Telkom)


Pertanyaan: Bagaimana cara membuat
komunitas tersebut , sinkronisasinya, serta
masalah pendanaan?
Jawaban:
prodi
berkomitmen
untuk
mendanai laboratorium dan berasal dari
pendanaan kegiatan penelitian.
Penanya: Sahrul Arif (LIPI)
Pertanyaan: Embedded linux yang sudah
dibuild prosesnya seperti apa?
Jawaban: Embedded linux yang sudah
dibuild adalah ARNA dan Cortex. ARNA tipe
ROS dan Cortex digunakan untuk aplikasi
yang lebih kompleks
Penanya: Oka Mahendra (LIPI)
Pertanyaan:
Sejauhmana
komunitas
laboratorium berkontribusi dalam kompetisi
robot ?
Jawaban: mengikuti beberapa kontes robot di
Indonesia seperti KRI, dsb.

Rancang Bangun Aplikasi Tuntunan Praktis P3K


Pada Perangkat Bergerak
Wiwin Suwarningsih
Pusat Penelitian Informatika-LIPI
Komplek LIPI Gd.20 Lt.3 Jl Cisitu 21/154 Bandung
wiwin@informatika.lipi.go.id

Abstract
Healthy body is one that is coveted by humans, but everyone must have been sick but the risk of illness can be
minimized or reduced risk preventive measures by knowing what to do. In this paper will be presented the design and
development of an application that will be planted on mobile devices. This application contains practical guidance First
Aid in the form of mini-applications that can display images and text. Development of applications using softwarebased open-source code to the operating system used is Android which is a system for building applications on mobile
devices such as ipad, tablets and smartphones. The method used to build the application guidance First Aid uses three
state model of the model that directs the user to select options by pressing the button and read the information in the
application. This method is used because it has characteristics that use graphical interface, clear, simple, fast and
expressive. The end result is the creation of applications expected First Aid practical guidance to facilitate the user in
the event that an emergency condition. This application is expected to provide health education in terms of preventive
measures to address the accident that happened around us.
Keywords: First Aid Index, mobile devices, preventive measures, health education.
Abstrak
Kesehatan tubuh merupakan salah satu yang didambakan oleh manusia, akan tetapi semua orang pasti pernah
sakit namun resiko sakit dapat diminimalkan atau dikurangi resikonya dengan cara mengetahui tindakan preventif apa
yang harus dilakukan. Dalam makalah ini akan dipaparkan perancangan dan pembangunan sebuah aplikasi yang akan
ditanam di perangkat bergerak (mobile device). Aplikasi ini berisi tuntunan praktis P3K (Pertolongan Pertama Pada
Kecelakaan) dalam bentuk mini aplikasi yang dapat menampilkan gambar dan teks. Pembangunan aplikasi ini
menggunakan perangkat lunak berbasis sumber kode terbuka dengan sistem operasi yang digunakan adalah Android
yang merupakan system untuk membangun aplikasi di perangkat bergerak seperti ipad, tablet dan smartphone. Metoda
yang digunakan untuk membangun aplikasi tuntunan P3K ini menggunakan three state model yaitu model yang
mengarahkan pengguna untuk memilih dengan cara menekan button pilihan dan membaca informasi yang ada di
aplikasi tersebut. Metoda ini digunakan karena memiliki karakteristik yang menggunakan antarmuka grafis, jelas,
sederhana, cepat dan ekspresif. Hasil akhir yang diharapkan adalah terciptanya aplikasi tuntunan praktis P3K untuk
memudahkan pengguna bila mengalami suatu kondisi darurat. Aplikasi ini diharapkan juga dapat memberikan edukasi
kesehatan dalam hal tindakan preventif untuk mengatasi kecelakaan yang terjadi di sekitar kita.
Kata kunci : Indeks P3K, mobile devices, tindakan preventif, edukasi kesehatan.

1. Pendahuluan
Memiliki tubuh dan badan yang sehat seumur hidup
adalah dambaan setiap orang. Namun situasi, kondisi
lingkungan sekitar kita, pola hidup serta bervariasinya
daya tahan tubuh seseorang terhadap penyakit membuat
hal impian tersebut sulit untuk dicapai[1].
Semua orang pasti pernah sakit, namun resiko sakit
dapat diminimalkan atau dikurangi resikonya. Kepekaan
masyarakat Indonesia akan hal kesehatan masih kurang,
ini diakibatkan karena ketidakmengertian atau
ketidakpahaman masyarakat akan pentingnya kesehatan,
serta kurangnya sosialisasi dari pemerintah mengenai
bagaimana hidup sehat dan memelihara lingkungan sehat
belum menunjukan hasil yang signifikan.

Melihat kebiasaan masyarakat saat ini seolah sudah


mengabaikan dan tidak mengenal istilah sakit, karena
kecenderungan bila mengalami sakit biasanya dibiarkan
sembuh dengan sendirinya. Keadaan seperti inilah yang
terkadang menyebabkan terjadinya musibah dan wabah
yang sebenarnya dapat kita hindari. Mengapa hal ini
terjadi karena pengetahuan dan informasi praktis
mengenai kesehatan untuk masyarakat masih kurang.
Pelayanan kesehatan masyarakat di daerah perkotaan
dan pedesaan sangat jauh berbeda, sehingga
menimbulkan banyak masalah dan ini menjadi pekerjaan
rumah sekaligus tantangan bagi pemerintah untuk
melakukan penyediaan sarana kesehatan yang seimbang
antara kota dan desa. Sumber dana, sumber daya serta
terbatasnya infra struktur menjadi alasan klasik bagi
pemerintah sehingga ketersediaan pelayanan kesehatan
tersebut
hanyalah impian belaka yang tidak bisa
dinikmati secara merata oleh seluruh masyarakat.

| Seminar Nasional Embedded Systems, Bandung 20 September 2012

45

Beberapa contoh masalah misalnya belum meratanya


kuantitas dan kualitas pelayanan kesehatan, masih relatif
tingginya angka kematian ibu melahirkan dan bayi,
berbagai masalah dalam penanganan pasien tuberculosis,
dan penyakit lainnya. Berdasarkan pola dan gaya hidup
masyarakat tersebut maka teknologi informasi yang
paling sesuai pada saat ini adalah aplikasi e-health
mobile. Manfaat yang diharapkan dari penggunaan
aplikasi e-health mobile sebagai perangkat edukasi
kesehatan dan perangkat lunak interaktif yang
difungsikan sebagai dokter pribadi guna meningkatkan
efisiensi dan penurunan biaya, peningkatan kualitas
pelayanan kesehatan, pembuktian melalui evaluasi
medis, pemberdayaan pasien dengan pakar medis,
pendidikan bagi tenaga medis dan pendidikan bagi
masyarakat,
perluasan
ruang-lingkup
pelayanan
kesehatan. Tindakan preventif dan pencegahan terhadap
penyakit yang diderita masyarakat semakin optimal.
Aplikasi Tuntunan P3K sebagai alat bantu
komunikasi penyampaian informasi dan edukasi
kesehatan dengan menggunakan fasilitas telepon seluler.
Telepon selular (ponsel), Ipad atau tablet adalah
perangkat telekomunikasi elektronik yang mempunyai
kemampuan dasar yang sama dengan telepon
konvensional saluran tetap, namun dapat dibawa ke
mana-mana (portabel, mobile) dan tidak perlu
disambungkan dengan jaringan telepon menggunakan
kabel (nirkabel; wireless).
Dengan adanya aplikasi tuntunan P3K yang ditanam
di perangkat bergerak (mobile device), masyarakat akan
mendapatkan edukasi kesehatan yang bersifat umum
dengan memanfaatkan jaringan telepon seluler yang
sudah masuk ke pelosok-pelosok pulau bahkan sampai
dengan tingkat desa sekalipun.

2. Struktur Aplikasi Bergerak


Sebuah aplikasi di perangkat bergerak (mobile
device),
pada umumnya mengandung komponen
antarmuka pengguna dalam lapisan presentasi, dan
mungkin
dapat
mencakup
komponen
logika
presentasi[2][3]. Lapisan bisnis, jika ada, biasanya akan
mengandung komponen logika bisnis, setiap alur kerja
bisnis dan komponen badan usaha yang diperlukan oleh
aplikasi (lihat gambar.1). Lapisan data biasanya akan
termasuk akses data dan komponen layanan agen. Dalam
rangka meminimalkan jejak pada perangkat, aplikasi
pada perangkat bergerak (mobile device), umumnya
menggunakan pendekatan lapiran (layering) yang
fleksibel dengan komponen diskrit yang lebih sedikit[2].
Hal-hal yang harus diperhatikan dalam merancang
aplikasi bergerak adalah[3] :
a. Tentukan apakah aplikasi yang dibangun sebuah
aplikasi yang melayani banyak penggun atau
aplikasi Internet yang membutuhkan pemrosesan
lokal dan harus bekerja dalam skenario sesekali
terhubung.
b. Tentukan jenis perangkat yang akan mendukung
dengan mempertimbangkan ukuran layar dan
resolusi, karakteristik kinerja CPU, memori dan
ruang
penyimpanan,
dan
pengembangan
ketersediaan
alat lingkungan seperti global
positioning system (GPS) atau kamera, yang dapat
46

c.

d.

mempengaruhi tidak hanya jenis aplikasi, tetapi


juga pilihan perangkat yang digunakan uleh
pengguna akhir.
Ketika koneksi jaringan tidak diperlukan, aplikasi
bergerak (mobile) harus menangani kasus ketika
koneksi jaringan terputus-putus atau tidak tersedia.
Desain antar muka pemakai (Grafical User
Interface = GUI) yang tepat untuk perangkat
bergerak (mobile), dengan mempertimbangkan
kendala Platform. Perangkat mobile memerlukan
arsitektur sederhana, GUI sederhana, dan keputusan
desain tertentu untuk bekerja dalam batasan yang
disesuaikan
dengan
perangkat
keras.

Gambar 1. Struktur dari aplikasi mobile[2]

Desain arsitektur berlapis yang sesuai untuk


perangkat bergerak dapat meningkatkan penggunaan
kembali. Tergantung pada jenis aplikasi, beberapa
lapisan dapat terletak pada perangkat itu sendiri[3][4].
Pertimbangkan keterbatasan sumber daya perangkat
seperti baterai, ukuran memori, dan kecepatan prosesor.
Setiap keputusan desain harus memperhitungkan CPU
yang terbatas, memori, kapasitas penyimpanan, dan daya
tahan baterai perangkat mobile.

3. Metodologi
Metoda yang digunakan untuk pembangunan
aplikasi ini adalah three state model yaitu model yang
mengarahkan pengguna untuk memilih dengan cara
menekan button pilihan dan membaca informasi yang
ada di aplikasi tersebut. Metoda ini digunakan karena
memiliki karakteristik yang menggunakan antarmuka
grafis, jelas, sederhana, cepat dan ekspresif[2].

4. Hasil dan Pembahasan


4.1. Menata Bentuk Taksonomi Input
Kinerja manusia dalam menggunakan aplikasi sangat
beragam, hal ini dipengaruhi oleh pengetahuan
pengguna, alat yang digunakan dan tampilan suatu
aplikasi. Aplikasi yang dibangun adalah aplikasi untuk
teknologi perangkat layar sentuh dengan sistem operasi
Android, dimana teknologi input dapat diasumsikan
bahwa abstraksi yang lebih baik adalah aplikasi yang
dapat membuat pengguna merasa nyaman dan jauh dari
deskripsi yang rumit.

| Seminar Nasional Embedded Systems, Bandung 20 September 2012

Salah satu cara membangun aplikasi adalah dengan


menata taksonomi masukan dalam bentuk diagram
transisi[2][4]. Taksonomi input yang dibuat pada
penelitian ini menggunakan diagram transisi. Diamana
diagram transisi dapat memperlihatkan perubahan
kinerja manusia dari state yang satu ke state yang lain
(lihat gambar1).

ketika pengguna memilih jawaban TIDAK. Saat


jawaban yang dibutuhkan oleh pengguna terjawab maka
pengguna akan kembali ke kondisi State-1. Dimana
state-1 pengguna dapat melakukan proses pelacakan
dengan mencari pilihan konsultasi berdasarkan kriteria
yang diinginkan pengguna.
4.2. Optimalisasi Waktu Interaksi

Lepas Layar

Diluar
Jangkauan

State 0

State 1

Pelacakan

Sentuh Layar

Gambar 2. Diagram Transisi Indeks P3K


Pada gambar 1 dapat dilihat bahwa awal penggunaan
aplikasi didefinisikan berada di state awal yaitu state-0,
kemudian pengguna memilih sesuai keinginan dengan
cara menyentuh layar (tap) pada menu pilihan. Kondisi
pengguna setelah menyentuh layar berada di state-1.
Pada posisi state-1 ini pengguna melakukan pelacakan
berupa pencarian informasi yang dibutuhkan dengan
proses menggulung layar untuk membuka tampilan
aplikasi. Proses selanjutnya ketika pengguna sudah
mendapatkan informasi yang dibutuhkan maka pengguna
keluar dari state-1 ke state-0 yang merupakan kondisi
awal untuk melakukan aktifitas selanjutnya pada
aplikasi. Taksonomi input untuk menu Indeks P3K
hanya terdiri dari dua state yaitu state awal menentukan
indeks p3k yang akan dibaca dan state-2 adalah proses
pelacakan dengan membaca informasi yang ada di
dalamnya. Berbeda dengan taksonomi input untuk
memilih menu konsultasi (lihat gambar.2). Pada menu
konsultasi ini terdapat proses tanya jawab, dimana
pengguna akan terus berinteraksi sampai mencapai
jawaban yang sesuai dengan pilihan pengguna.
Pelacakan

State 1
Sentuh
Layar YA

Sentuh Layar
Tidak
Lepas Layar Lepas Layar
YA
TIDAK

State 2.a

State 2.b
Pelacakan

Pelacakan

Gambar 3. Diagram transisi Menu Konsultasi


Berdasarkan gambar 2, state-0 tidak dilibatkan karena
dianggap sudah masuk ke aplikasi, sehingga proses
langsung masuk ke state-1 yang merupakan menu
pilihan konsultasi. State-2.a. merupakan kondisi ketika
pengguna memilih jawaban YA dan State-2.b kondisi

Waktu interaksi [5][6] adalah waktu yang dibutuhkan


pengguna untuk berinteraksi dengan aplikasi yang
menyediakan beberapa tampilan menu pilihan.
Perhitungan waktu interaksi (lihat rumus.1) ini
digunakan untuk seberapa besar aplikasi dapat
memberikan informasi yang dibutuhkan pengguna.
Sehingga pengembang dapat membuat suatu interaksi
yang optimal. Cara menghitung waktu interaksi adalah
jumlah kejadian dari operator yang digunakan dikali
dengan jimpunan operator yang tersedia[2]. Operator
disini adalah proses interaksi yang dilakukan oleh
pengguna yaitu sentuh layar, gulung layar, pelacakan,
pemilihan menu dan sebagainya.
Tinteraksi = nop x OP

(1)

Dimana :
OP = Himpunan operator yang tersedia
nop = Jumlah kejadian dari Operator op, dimana
op himpunan bagian dari OP.
Hasil perhitungan waktu interaksi pengguna dengan
aplikasi dapat dilihat pada tabel 1 berikut ini. Hasil
perhitungan ini berdasarkan dari data kuisioner yang
telah disebar ke pengguna pada bulan april 2012 di dua
tempat yaitu kabupaten bandung dan kabupaten
sukabumi.
Tabel.1. Waktu Interaksi
Interaksi
Masuk Aplikasi
Tuntunan P3K
Lihat Menu Beranda
Baca Beranda
Sentuh tab selanjutnya
Baca informasi
Sentuh Menu Indeks
P3K
Memilih Indeks
Baca Informasi
Sentuh Menu
Konsultasi
Baca Informasi
Sentuh tab YA atau
tab TIDAK
Baca Informasi
Keluar Aplikasi

Operator
Sentuh

Waktu
Interaksi
0,99 detik

Lihat
Baca
Sentuh
Baca
Sentuh

1,23 detik
2,50 detik
0,99 detik

Sentuh
Baca
Sentuh

1,05 detik
2,34 detik
0,99 detik

Baca
Sentuh

1,67 detik
0,99 detik

Baca
Sentuh

3,21 detik
0,99 detik

0,99 detik

Dari tabel 1 diatas dapat dilihat bahwa waktu yang


dibutuhkan pengguna untuk menggunakan aplikasi
relatif kecil, karena interaksi pengguna dimudahkan
dengan hanya menyentuh layar, membaca, memilih dan
sentuh.

| Seminar Nasional Embedded Systems, Bandung 20 September 2012

47

4.3. Menata Layar di Perangkat Bergerak


Penataan layar aplikasi tuntunan P3K ini dibuat
denngan menyesuaikan perangkat bergerak yang
digunakan oleh pengguna. Cara pengaturannya dibuat
secara otomatis dengan menambah perintah di source
code HTML dengan perintah tag yaitu lebar layar
disesuaikan dengan perangkat yang digunakan. (lihat
gambar 3).
<head>
<meta name=viewport
content=width=device-width;
initial-scale=1.0;
maximum-scale=1.0;>
<meta http-equiv=Content-Type
content=text/html;
charset=utf-8 />
<link href=style.css
rel=stylesheet type=text/css />
</head>
Gambar 3. Source Code Pengaturan Tampilan

Berdasarkan source code pada gambar 3, penataan


antarmuka akan menjadi otomatis tergantung perangkat
bergerak yang digunakan oleh pengguna. Sehingga
pengguna akan merasa nyaman menggunakan perangkat
yang mereka miliki tanpa harus mengatur tampilan.

4.c. Pengujian aplikasi di smartphone 4,5 inchi


Gambar 4. Pengujian Tampilan Aplikasi di Perangkat
bergerak (mobile device)

Pada gambar 4.a. dapat dilihat aplikasi tuntunan P3K


yang ditanam pada perangkat eee-pad transformer 10
inch dengan versi android 3.1. , gambar 4.b. aplikasi
yang ditanam di tablet samsung 7 inchi dengan versi
android adalah ice cream sandwich dan gambar 4.c.
aplikasi tuntunan P3K yang ditanam di smartphone 4,5
inchi dengan versi android 2.3.3. Ketiga perangkat yang
digunakan untuk pengujian aplikasi tuntunan P3K ini
menggunakan sistem operasi android dengan versi yang
beragam, hal ini menunjukan bahwa ukuran antarmuka
yang dibangun akan disesuaikan dengan ukuran layar
dari perangkat yang digunakan oleh pengguna.

5. Kesimpulan
4.4. Pengujian Aplikasi
Pengujian aplikasi tuntunan P3K menggunakan
dua jenis perangkat mobile yaitu ipad, tablet dan
smartphone (lihat gambar 4). Aplikasi tuntunan P3K
ditanam di dua perangkat tersebut dan diuji dengan cara
mengaktifkan aplikasi.

Pembangunan aplikasi berbasis perangkat bergerak


(mobile devices) harus mengikuti struktur aplikasi agar
memudahkan perancangan antarmuka dan bentuk
interaksi pengguna. Metoda three state model
memudahkan perancangan aplikasi tuntunan P3K
menjadi aplikasi yang ditanam di perangkat bergerak.
Hal ini dibuktikan dengan waktu interaksi antara
pengguna dan aplikasi yang relatif singkat, serta aplikasi
yang ditanam diberbagai jenis perangkat bergerak dan
sistem operasi android dengan versi yang berbeda
berjalan dengan baik.

6. Daftar pustaka
[1]

A.C. Norris, Essentials of Telemedicine and


Telecare, John Wiley & Sons, USA,
Australia, Singapore, Canada, 2002

[2]

Buxton, W. Human - Computer


Interaction,- INTERACT . Amsterdam:
Elsevier Science Publishers B.V, 2000

[3]

Paul Holleis, Modelling and Developing


Mobile Applications, England, 2009
Diakses dari :http://www.comp.lancs.ac.uk/
Pada tanggal : 10 Mei 2012

[4]

Paelke,
Reimann and Rosenbach, A
visualization design repository for mobile
devices. In 2nd International Conference on
Computer Graphics, Virtual Reality,
Visualisation and Interaction in Africa, Cape
Town, South Africa, 2003.

4.a. Pengujian aplikasi di eee-pad 10 inchi

4.b. Pengujian aplikasi di tablet 7 Inchi


48

| Seminar Nasional Embedded Systems, Bandung 20 September 2012

2.
[5]

Shneiderman and Plaisant, Designing the


User Interface: Strategies for Effective
Human-Computer Interaction , Boston,
MA:
Pearson Addison Wesley, 2005.

[6]

------, How to design for non-touch screen


devices, User Centric Mobile, 2009
Diakses dari :
http://mashable.com/mobile-app-designtrends/. Pada Tanggal : 10 Juni 2012

7. Daftar Pertanyaan
1.

Penanya: Febriadi Santosa (Poltek Telkom)


Pertanyaan: Bagaimana cara mengedit atau
menambah tuntunan P3K, karena di tampilan
menu tidak ada fasilitas untu menambah
informasi ataupun edit informasi
Jawaban: Cara menambahkan data atau
mengubah data adalah dengan akses ke
database yang tersimpn di website edukasi
kesehatan. Mengapa demikian untuk menjaga
keamanan data sehingga kmi tidak memberikan
fasilitas menu edit data. Dan untuk pengguna
yang membutuhkan dengan data terbaru kami
menyediakan update data seperti layaknya
aplikasi yang ada di android market.

Penanya: Ade Ramdan (LIPI)


Pertanyaan: Apakah aplikasi ini hanya berupa
launcher saja ?
Jawaban: Ya, aplikasi ini hanya berupa
launcher, karena bila berbentuk aplikasi yang
ditanam secara keseluruhan akan membutuhkan
memory database yang besar.

| Seminar Nasional Embedded Systems, Bandung 20 September 2012

49

50

| Seminar Nasional Embedded Systems, Bandung 20 September 2012

Penggunaan LabVIEW:
Perancangan Exciter menggunakan VCO untuk peralatan Jamming
Elan Djaelani
Puslit Informatika LIPI
elan@informatika.lipi.go.id

Abstract
The paper present LabVIEW simulation of jamming exciter. The main component of exciter is voltage
controlled oscillator. The relation of input and output exciter are presented by graph and table. Input exciter are saw
tooth signal, noise signal and DC voltage. Output exciter are RF frequency that sweep the frequency from f1 to f2 with
f0 as center of frequency. As the performance of jamming exciter depends on center of frequency, f0 set at 30 MHz,
32,5 MHz, 35 MHz,...77,5 MHz, and 80 MHz with 2.5 MHz as frequency width. The simulation result is used to develop
hardware exciter later.
Keywords: simulation, exciter, LabVIEW software

Abstrak
Dengan bantuan software LabVIEW telah dibuat simulasi exciter dari peralatan jamming. Exciter
menggunakan komponen voltage controled oscilator sebagai komponen utamanya. Hasil simulasi berupa grafik dan
tabel hubungan antara input exciter dan outputnya. Input exciter adalah sinyal gigigergaji, sinyal noise dan level
tegangan DC yang dapat berubah ampiludanya. Output exciter adalah frekuensi RF , menyapu dari frekuensi f1 ke f2
dengan frekuensi tengah f0. Untuk mengembangkan kinerja dari exciter dari peralatan jamming , telah disusun
spesifikasinya dengan menyusun frekuensi tengahnya adalah frekuensi sebagai berikut : 30 MHz, 32,5 MHz, 35
MHz,....77,5 MHz, dan 80 MHz. Lebar frekuensi sweeping=2,5 MHz. Disain untuk pengembangan peralatan jamming
akan dipergunakan data data hasil simulasi exciter peralatan jamming.
Kata kunci: simulasi, peralatan exciter, software LabVIEW

1. Pendahuluan
Jamming adalah suatu istilah dimana terdapat
gangguan yang mengakibatkan kemacetan pada saat
penerimaan maupun pengiriman data (informasi).
Penyebabnya di dalam penerimaan sinyal data biasanya
adalah karena interferensi atau gangguan dari sinyal
yang mempunyai frekuensi sama atau hampir sama.
Terpisahnya dua prajurit TNI yang tergabung pada
pasukan penjaga perdamaian PBB di Libanon atau
UNIFIL dari induk pasukan pada saat melakukan
pengunduran diri dari arena pertempuran antara pasukan
Libanon dan Israel sesuai prosedur standar pasukan
penjaga perdamaian PBB. Diantara penyebab
terpisahnya kedua prajurit TNI tersebut pada proses
pengunduran diri dari induk pasukannya karena adanya
gangguan komunikasi (jamming) antara pusat komando
Indobatt dengan pasukan yang berada di lapangan pada
saat terjadinya pertempuran. Dalam perang modern
mengganggu fungsi peralatan telekomunikasi musuh
(jamming) adalah merupakan bagian dari perang itu
sendiri, dan terbukti berdampak serius dalam suatu
pertempuran seperti yang terjadi di Lebanon kemarin
dimana militer Israel mampu mengganggu seluruh
komunikasi milik militer Lebanon termasuk komunikasi
milik TNI yang tergabung dalam UNIFIL.[1]. Pada
tahun 2006 LIPI bekerja sama dengan Dinas Penelitian
TNI AL, membuat peralatan jamming. Peralatan
jamming tersebut telah dipergunakan di instansi

Dislitbangal dengan hasil baik dan layak dipergunakan


di lingkungan TNI untuk mendukung jalannya Alutsista,
serta masih bisa dikembangkan kembali dimasa yang
akan datang dari segi dimensi dan kinerja.[2]. Dalam
rangka penyempurnaan peralatan jamming kami
membuat simulasi dengan bantuan software LabVIEW.

1.1 Exciter dari peralatan jamming


Exciter dari peralatan jamming seperti pada gambar1.
Vcc
1
8

V-tune

Noise
Generator
Sawtooth
Generator

RF.Out

VCO-1

2
3 4 56 7
GND

Relay-2

Relay-1

BPF

Output

Vcc
1
V-tune

VCO-2

2
3 4 56 7

RF.Out

GND

Gambar 1. Diagram blok exciter dari peralatan


jamming

Pada bagian ini dibangkitkan sinyal gigigergaji,sinyal


noise,dan sinyal pembawa yang dibangkitkan pada
voltage controled oscilator.

1.2 Generator gigi gergaji dan noise


Diagram blok generator gigigergaji dan noise seperti
pada gambar 2.

| Seminar Nasional Embedded Systems, Bandung 20 September 2012

51

Penguat

Astable

Attenuator

Penjumlah

Noise

Penguat

Diode Clamper
Output
&Atenuator

Attenuator

Gambar 2. Diagram blok generator gigi gergaji


dan noise

Astable multivibrator
dibentuk oleh IC LM
555,R1=R2=3K9 dan C=1nF menghasilkan hasilkan
sinyal gigigergaji mempunyai frekuensi f=110KHz.[5].
Setelah melalui penguat dan dikopling capasitor
sehingga hanya komponen ac yang diteruskan lalu
masuk ke attenuator,yang berupa potensio multiturn 5
Kohm.
Noise dibentuk oleh diode zener, lalu diperkuat
,dikopling capasitor lalu masuk ke attenuator, yang
berupa potensio multiturn 5 Kohm. Kedua sinyal
digabung oleh rangkaian penjumlah.
Bagian akhir adalah rangkaian diode clamper,dimana
dapat menambahkan tegangan DC pada sinyal yang
dihasilkan generator. Output generator gigigergaji dan
noise masuk ke bagian VCO.
Sistem
Exciter

Driver
Amplifier

Power
Amplifier

Sistem
Antena

Power
Supply

Gambar 3. Blok diagram peralatan jamming

simulasi diantaranya dapat menghemat waktu ,dengan


cara mencoba berbagai input yang bervariasi ,
mengkorekasi kesalahan perhitungan,simulasi ini dapat
dijalankan kembali dan dihentikan [3]
VCO adalah osilator yang menghasilkan frekuensi
output jika inputnya mendapatkan tegangan , dan jika
tegangan
berubah
maka
frekuensi
outputnya
berubah.Generator gigigergaji dan noise mempunyai
output sinyal noise,gigigergaji yang amplitudanya bisa
diatur dengan mengeset attenuator.Pengaturan sinyal
gigigergaji akan mengubah lebar sweeping ,pengaturan
diode clamper akan menggeser frekuensi center. Output
exciter ini masuk kepada
input driver amplifier,
diteruskan ke power amplifier dan terakhir masuk ke
system antena., lihat gambar 3.

1.4 Software LabVIEW


LabVIEW telah banyak digunakan oleh para
mahasiswa dan peneliti diperguruan tinggi dan juga oleh
para perancang diindustri.
LabVIEW adalah salah satu bahasa pemograman
komputer grafik yang menggunakan icon-icon sebagai
pengganti teks dalam membuat aplikasi. Program ini
adalah salah satu produk keluaran National Instrument.
Berbeda dengan pemograman berbasis teks dimana
instruksi-instruksi menentukan eksekusi program,
LabVIEW terdiri dari tiga komponen, yaitu :
1. Front panel, merupakan user interface.
2. Block diagram, terdiri dari sumber-sumber grafik
yang mendefinisikan fungsi-fungsi dari VI.
3. Icon dan connector panel, mengidentifikasi suatu VI
sehingga bisa digunakan pada VI yang lain. VI yang
terdapat pada VI lain disebut dengan sub VI.[4],[5].

1.3 Simulasi
Peralatan jamming yang telah dibuat,ada circuit
digramnya dan tentu dapat dibuatkan model dari
sistemnya.Model tersebut disimulasikan dan dilanjutkan
dengan pelaksanaan eksperimen terhadap model untuk
mempelajari perilaku system.[2]. Salah satu keuntungan

2. Simulasi LabView
Front Panel dan Blok Diagram Simulasi seperti pada
gambar 3 dan gambar 4.

Gambar 3 Front Panel Simulasi

52

| Seminar Nasional Embedded Systems, Bandung 20 September 2012

Gambar 4. Blok Diagram Simulasi

2.1 Hasil Simulasi


2.1.1 Hasil Simulasi dalam grafik
Bentuk sinyal noise, sinyal gigigergaji,sinyal
gabungan keduanya dan bentuk output voltage controlled
oscillator sperti pada Gambar 5, Gambar 6, Gambar 7
dan Gambar 8.

Gambar 7. Bentuk sinyal gabungan gigi gergaji dan sinyal


noise

Gambar.5. .Bentuk sinyal noise

Gambar 8. Output voltage controlled oscillator, sinyal gigi


gergaji 0 volt, sinyal noise 0 volt, dan tegangan DC 7 volt

Gambar 6. Bentuk sinyal gigi gergaji

| Seminar Nasional Embedded Systems, Bandung 20 September 2012

53

2.1.2 Hasil Simulasi dalam tabel

2.3 Hasil Simulasi

Tabel 1. Sinyal noise, sinyal gigi gergaji, tegangan


diode clamp, Frekuensi VCO-1.
Sinyal
Sinyal
Tegangan Frekuensi
noise
gigi gergaji
DC
VCO
[volt]
[volt]
[volt]
[MHz]

Input VCO yang terdiri dari sinyal noise,sinyal gigi


gergaji dan tegangan DC diode clamp,menghasilkan
output VCO yang berupa sweeping frequensi antara f1
sampai f2 dengan frekuensi tengah f0.dan output ini
yang dikenal sinyal jamming.
Untuk sinyal gigi gergaji antara +3,8 V sampai 3,8
V pada table 1 didapatkan frekuensi VCO 61,40773
MHz sampai dengan 45,54883 MHz.
Untuk sinyal gigi gergaji antara +3,4 V sampai 3,96 V
pada table 2 didapatkan frekuensi VCO 81,54941MHz
sampai dengan 53,92379MHz.
Untuk tegangan DC diode clamp dari table 3,
tegangan 0 V sampai 10 V, didapat frekuensi VCO
antara 39.83000 MHz sampai 82.53000 MHz.

0.000960
0.001010
0.001060
0.001110
0.001160
0.001425
0.001475
0.001525
0.001575
0.001625
0.001940
0.001990
0.002040
0.002405
0.002090

-0.320000
0.080000
0.480000
0.880000
1.280000
3.400000
3.800000
-3.800000
-3.400000
-3.000000
-0.480000
-0.080000
0.320000
3.240000
0.720000

7.007834
7.007834
7.007834
7.007834
7.007834
7.007834
7.007834
7.007834
7.007834
7.007834
7.007834
7.007834
7.007834
7.007834
7.007834

51,49912
51,34761
53,42228
53,74049
55,41822
57,69814
61,40773
45,54883
45,39129
48,26692
51,95166
52,48679
55,00729
57,87618
54,51923

Tabel 2. Sinyal noise,sinyal gigi gergaji, tegangan


diode clamp, Frekuensi VCO-2
Sinyal
Sinyal
Tegangan Frekuensi
noise
gigi gergaji
DC
VCO
[volt]
[volt]
[volt]
[MHz]
0.000620
-3,04
7.067129
53,96105
0.001540
-3,68
7.067129
56,16446
0.001715
-2,28
7.067129
57,51016
0.001760
-1,92
7.067129
59,15643
0.001935
-0,52
7.067129
66,64291
0.002059
0,47
7.067129
72,62864
0.002110
0,88
7.067129
74,38703
0.002505
-3,96
7.067129
53,09031
0.002505
-3,96
7.067129
53,92379
0.003645
-2,84
7.067129
53,98816
0.002680
-2,56
7.067129
62,10386
0.002855
-1,16
7.067129
69,26349
0.003075
0,6
7.067129
73,22341
0.003250
2,0
7.067129
79,81327
0.003425
3,4
7.067129
81,54941
0.003820
-1,44
7.067129
61,21995
Tabel 3. Sinyal noise,sinyal gigigergaji, tegangan
diode clamp, Frekuensi VCO-2
Sinyal
Sinyal
Tegangan Frekuensi
noise
gigi gergaji
DC
VCO
[volt]
[volt]
[volt]
[MHz]
0.007265
1,4
10.00000
82.53000
0.000505
1,4
9.016127
78.32886
0.000820
1,4
8.068629
74.28305
0.000695
1,4
7.228785
70.69691
0.000730
1,4
6.015114
65.51453
0.000750
1,4
5.018002
61.25687
0.000650
1,4
3,98
57.22370
0.000615
1,4
3.032237
52.77765
0.000640
1,4
2.022781
48.46727
0.000955
1,4
0.958344
43.92213
0.000515
1,4
0.000000
39.83000
54

2.4 Kesimpulan Simulasi


Exciter dari peralatan jamming dapat dibuat
simulasinya dengan bantuan software LabVIEW.
Hasil hasil simulasi dapat digunakan perancangan
Exciter,sehingga didapatkan kinerja yang lebih baik.

3. Perancangan Exciter
3.1 Spesifikasi exciter yang diinginkan
Exciter yang diinginkan mempunyai spesifikasi sebagai
berikut:
a. Freq Center:30 MHz,32,5 MHz,35 MHz,...77,5
MHz,dan 80 MHz.
Frek center dapat dipilih.
b. Lebar frekuensi sweeping =2,5 MHz.
Lebar freq sweeping seperti diatas atau kelipatan.

3.2 Metodolgi penelitian


a. Pelajari
exciter
peralatan
jamming,dengan
komponen utama VCO dan inputnya sinyal
gigigergaji ,sinyal noise dan sinyal DC.
b. Membuat simulasi exciter peralatan jamming
dengan bantuan LabVIEW.
c. Pelajari hasil simulasi.
d. Pelajari rangkaian generator gigigergaji , generator
noise dan tegangan DC diode clamping.
e. Untuk deviasi frequensi sweeping, dengan
mengatur tegangan output generator gigigergaji.
f. Untuk menentukan frekuensi center ,dengan
mengatur tengan dari DC diode clamper.
8. Frequensi sinyal gigigergaji ditentukan tetap 110
KHz.

4. Hasil dan pembahasan


Dari hasil percobaan untuk mendapatkan deviasi
sweeping 2,5 MHz,didapat tegangan gigigergaji 1,4
Volt.Gelombang gigigergaji dari -1,4 v bergerak ke + 1,4
volt , lalu pindah ke -1,4 volt dan seterusnya. Untuk
menentukan frekuensi center ,pada sinyal gigigergaji 1,4
volt, lalu membuat percobaan lagi dengan mencoba
tegangan tegangan DC. Hasil percobaan dapat dilihat
pada tabel 4. Percobaan bisa dilakukan terus,sehingga
didapatkan hasil yang mendekati spesifikasi seperti
bagian 3.1

| Seminar Nasional Embedded Systems, Bandung 20 September 2012

Tabel 4. Sinyal noise,sinyal gigigergaji, tegangan


diode clamp, Frekuensi VCO-2

Hasil simulasi dapat membantu perancangan exciter


jamming (seperti pada bagian 3.1)
Tahap pertama dapatkan nilai tegangan gigigergaji
supaya deviasi sweeping 2,5 MHz.
Pada percobaan hasilnya tegangan gigigergaji 1,4 volt.
Tahap berikutnya untuk nilai tegangan gigigergaji 1,4
volt,coba nilai tegangan DC sehingga dipatkan nilai
frekuensi center seperti pada bagian 3.1.

[8] ______, Datasheet: Rev. LM555/NE555/SA555,


Single Timer, www.alldatasheet.com/datasheetpdf/pdf/53587/FAIRCHILD/LM555.html, (Diakses
10 September 2012).
[9] ______, Datasheet: Rev. CD4066BC, CD4066BC
Quad Bilateral Switch, Fairchild Semiconductor,
2005.
[10] ______, Datasheet: Rev. TL074 TL074A
TL074B, Low Noise J-Fet Quad Operational
Amplifiers,
www.alldatasheet.com/datasheetpdf/pdf/25382/STMICROELECTRONICS/TL074.
html, (Diakses 10 September 2012).
[11] ______, Datasheet: Rev. LM386, LM386 Low
Voltage Audio Power Amplifier, Literature
Number: SNAS545A, National Semiconductor,
Texas Instruments, 2000.
[12] Ahmad Jiswari,Nihad Dib,GSM 900 Mobile
Jammer,
Electrical
Engineering
Departement,Jordan University Of Science and
Technology.
[13] Mini Circuits,VCO type POS 50,POS 100.
[14] Elan Djaelani,Daday Ruhiat,Pembuatan Exciter
Untuk
Perangkat
Pemancar
Jamming,Prosiding Seminar Radar NasionalI,
Jakarta.2008.

5. Daftar Pustaka

6. Daftar Pertanyaan

Sinyal
gigi
gergaji
[volt]
1,4
1,4
1,4
1,4
1,4
1,4
1,4
1,4
1,4
1,4

Teg
DC
[volt]

Frek
VCO
[MHz]

Frek
VCO
[MHz]

Devia
si
Freq

Frek
center

8,07
9,07
2,04
7,04
5,04
4,01
3.98
2.01
6,40
9,07

55,01
56,89
43,68
53,07
49,32
47,39
47,34
41,00
51,87
56,90

52,45
54,27
41,06
50,48
46,72
44,77
44,72
43,63
49,25
54,42

2,56
2,62
2,62
2,59
2,6
2,62
2,62
2,63
2,62
2,48

53,73
55,58
42,37
51,78
48,32
46,08
46
42,31
50,76
55,71

4. Kesimpulan

[1]
[2]

[3]
[4]
[5]

[6]
[7]

(http://www.tni.mil.id)
Rusmana, Letter of Statement,Institution
Research and Development, Headquarter of
Navy,2009.
Shannon,RE,Systems simulation and Art and
Science,Prentice-Hall,Englewood Cliff,NJ,1975..
Thomas J Kakiay,Basic theory queue for real
life,Yogya Andi,2004.
Elan Djaelani,Simulation Osilator Blocking as
Sensor Level by using LabVIEW.,Journal
INKOM,2012.
Lisa K.Wells, Student Edition Users
Guide,LabVIEW National Instruments,1994
Elan
Djaelani,Nina
Siti
Aminah,Ridodi
Anantaprama,Simulation of exciter from device
jamming by using LabVIEW,International
Journal of Basic and Applied Science,Vol
01,No.02 Oct 2012.,P-issn 2301-4458,E-ISSN
2301 -8038.

1.

2.

| Seminar Nasional Embedded Systems, Bandung 20 September 2012

Penanya: Indera Wibawa (PT LEN)


Pertanyaan: Hubungan antar fluktuasi gigi
gergaji dengan frequensi output?
Jawaban: dari referensi, dapat dilihat bahwa
kenaikan pada gigi gergaji akan mengakibatkan
kenaikan pada frekuensi output. Sebaliknya,
penurunannya akan mengembalikan frekuensi
output ke state awal.
Pertanyaan: Apa pengaruh apabila jammer
memiliki power yang lebih kecil dari TX
Jawaban: jammer hanya terhubung ke RX,
sehingga tidak berpengaruh
Penanya: Efendi (LIPI)
Pertanyaan: Apa akan mengganggu frekuensi
yang lain?
Jawaban: hanya 30mHz 80 mHz
menggunakan Direction Filter

55

56

| Seminar Nasional Embedded Systems, Bandung 20 September 2012

Rancang Bangun Alat Pengukur Curah Hujan (APCH) Online


sebagai Sistem Monitoring Cuaca di Kecamatan Cilengkrang
Herlan1), Sahrul Arif2), Ferdian Yunazar3), Elli A. Gojali4), Ade Ramdan5)
Pusat Penelitian Informatika - LIPI
herlan@informatika.lipi.go.id1), sahrul@informatika.lipi.go.id2), ferdian@informatika.lipi.go.id3),
elli@informatika.lipi.go.id4), ade@informatika.lipi.go.id5)

Abstract
Information and communications technology now plays a very important role in our daily lives. Needs for the
latest information and communication is an absolute thing in this era of almost online now. Pusat Penelitian
Informatika LIPI with products integrated weather station sites can provide information on the state of the weather at a
place with realtime. Readings weather sensors on weather stations can be displayed on the web and accessed online. So
that it can facilitate the user when to take the data from weather stations that have been installed. By using the weather
stations of LIPI that integrated online local governments become quite helped because information about rainfall and
possible flooding can be detected early.
Keywords: online weather station, realtime, Cilengkrang, rainfall

Abstrak
Teknologi informasi komunikasi saat ini memegang peranan yang sangat penting dalam kehidupan kita
sehari-hari. Kebutuhan masyarakat akan informasi dan komunikasi yang terkini merupakan suatu hal yang mutlak di
jaman yang serba online saat ini. Pusat Penelitian Informatika LIPI dengan produk stasiun cuaca yang terintegrasi
secara web dapat memberikan informasi mengenai keadaan cuaca pada suatu tempat dengan realtime. Hasil
pembacaan sensor-sensor cuaca pada stasiun cuaca tersebut dapat di tampilkan pada web dan di akses secara online.
Sehingga hal ini dapat memudahkan pengguna ketika ingin mengambil data-data dari stasiun cuaca yang telah
terpasang. Dengan menggunakan stasiun cuaca LIPI yang terintegrasi secara online tentunya pemerintah setempat
menjadi cukup terbantu karena informasi mengenai curah hujan dan kemungkinan banjir dapat di deteksi lebih dini.
Kata kunci: stasiun cuaca online, realtime, Cilengkrang, curah hujan.

1. Pendahuluan
Data curah hujan sangat diperlukan dalam setiap
analisis hidrologi, terutama untuk menghitung debit
kemungkinan banjir baik secara empiris maupun model
matematis. Hal tersebut disebabkan karena data debit
untuk selang waktu pengamatan yang cukup panjang
belum dapat diperoleh atau tidak ada.
Curah hujan sebesar 1 mm artinya adalah tinggi air
hujan yang terukur setinggi 1 mm pada daerah seluas 1
m2 (meter persegi). Artinya banyaknya air hujan yang
turun dengan ukuran 1 mm adalah 1 mm x 1 m2 = 0,001
m3 atau 1 liter.Jadi misal suatu daerah pada suatu hari
memiliki curah hujan sebesar 8000 mm, dan wilayah itu
memiliki luas 100 km2, maka jumlah air yang turun di
daerah itu adalah 8000 mm x 100 km2 = 8 x 1011 liter.
Jika air sebanyak itu jatuh ke bumi dan tidak langsung
mengalir atau meresap ke dalam tanah, maka dapat
diperkirakan berapa luas daerah yang tergenang air itu.
Sebagai contoh : luas wilayah yang tergenang air
setinggi rata-rata 1 meter di area hujan tadi adalah 8 x
1011 liter / 1 m = 8 x 108 m2 = 800 km2.
Curah hujan dihitung harian, mingguan, hingga
tahunan, sesuai kebutuhan. Pembangunan Saluran
Drainase, selokan, irigasi, serta pengendalian banjir
selalu menggunakan data curah hujan ini, untuk
mengetahui berapa jumlah hujan yang pernah terjadi di
suatu tempat, sebagai perkiraan pembuatan besarnya

saluran atau sarana pendukung lainnya saat hujan


sebesar itu akan datang lagi dimasa mendatang.
Sebagai contoh, rata-rata curah hujan di Indonesia
adalah 2000-3000 mm/tahun (artinya kalau air hujan
"dikumpulkan" selama satu tahun akan setinggi 2-3
meter!). Curah hujan tertinggi ada di daerah Jawa
Tengah Baturaden sebesar 7069 mm/thn, dan curah
hujan terendah ada di daerah Palu, Sulawesi tengah
sebesar 547mm/tahun.[1]
Intensitas curah hujan dapat dikelompokkan menurut
tingkat presipitasi:
a. Gerimis : ketika tingkat presiptasinya < 25
millimetre (0.98 in) per jam.
b. Hujan sedang : ketika tingkat presiptasinya antara
25 millimetre (0.98 in) 76 millimetre (3.0 in) atau
10 millimetre (0.39 in) per jam.
c. Hujan deras : ketika tingkat presiptasinya > 76
millimetre (3.0 in) per jam, atau antara 10
millimetre (0.39 in) dan 50 millimetre (2.0 in) per
jam.
d. Hujan badai : ketika tingkat presiptasinya > 50
millimetre (2.0 in) per jam.

2. Dasar Teori
2.1 Sensor Curah Hujan
Pada kegiatan ini perangkat sensor penakar hujannya
menggunakan Tipping Bucket. Dimana pada saat
bucketnya saling berjungkit, secara elektrik terjadi
kontak dan menghasilkan keluaran nilai curah hujan.

| Seminar Nasional Embedded Systems, Bandung 20 September 2012

57

Penakar hujan t ype tipping bucket, nilai curah


hujannya t iap bucket berjungkit tidak sama,
serta luas permukaan corongnya beragam
tegantung dari merk pembuatnya. Jadi dalam
kita mengoperasikan penakar hujan jenis tipping
bucket, kita harus pula mengetahui secara teliti dasar
dari perhitungan data yang dihasilkannya.[2]

= 0,006285 L = 6,285 mL

2.2 Sensor Metpak


Metpak Pro merupakan sensor cuaca yang dapat
mengukur beberapa parameter yaitu, kecepatan angin,
arah angin, temperatur udara, kelembaban udara, tekanan
udara dan titik embun. Gambar dari sensor Metpak Pro
dapat dilihat pada gambar 3.

Gambar 1. Sensor curah hujan

Gambar 1. adalah gambar dari sensor curah hujan


yang digunakan pada kegiatan ini. Diameter dari tabung
sensor curah hujan tersebut adalah 20 cm atau 200 mm.
Sedangkan bagian dalam dari tabung sensor ini dapat
dilihat seperti pada gambar 2. yaitu gambar penakar
hujan Tipping Bucket elektrik.

Gambar 2. Penakar hujan Tipping Bucket elektrik

Gambar 3. Sensor Metpak_Pro [3]

Fitur-fitur sensor metpak pro diantaranya:


Pengukuran kecepatan angin, arah angin, kelembaban
udara, tekanan udara, titik embun, input PRT untuk
tambahan sesor suhu, input digital untuk tipping bucket
curah hujan [3]
Spesifikasi sensor metpak pro :[3]
Parameters - Met : Wind Speed & Direction,
Temperature, Humidity, Barometric Pressure, Dew
Point
Wind Speed Range : 0-60m/s (134 mph)
Wind Direction Range : 0-359 - No dead band
Relative Humidity Range : 0 to 100% RH
Barometric Pressure Range : 600 to 1100 hPa
Dew Point Resolution : 0.1C (0.1F)
Digital Output : RS232, RS422, RS485* or SDI-12
(user selectable)

2.3 ATMega8535
Nilai tiap jungkit / tip bucket dari sensor yang
digunakan pada kegiatan ini adalah 0,2 mm dan volume
air yang dituangkan untuk menjungkitkan bucket sesaat
setelah airnya tercurah semua yaitu 6,285 mL. Angka ini
didapat dari perhitungan sebagai berikut :

Diameter tabung (d) = 200 mm,


atau jari-jari (r) = 100 mm,
Tinggi(t) = 1 mm.
Volume = 22/7 x 10000 x 1 = 31428,571 mm3
Karena 1 jungkit = 0,2 mm, maka volume tabung harus
dibagi dengan 5 :
Volume = 31428,571/5 = 6285,7143 mm3
58

Mikrokontroler
ATMega8535
merupakan
mikrokontroler 8-bit teknologi CMOS dengan konsumsi
daya rendah yang berbasis arsitektur enhanced RISC
AVR. Dengan eksekusi instruksi yang sebagian besar
hanya menggunakan satu siklus clock, ATMega 8535
mencapai throughput sekitar 1 MIPS per MHz yang
mengijinkan perancang sistem melakukan optimasi daya
versus kecepatan pemrosesan.[4]
ATMega8535 menyediakan fitur-fitur: 8K byte
memori In-System Programmable Flash dengan
kemampuan Read-While-Write, 512 byte EEPROM, 512
byte SRAM, 32 saluran I/O untuk keperluan umum, 32
register GPR, tiga buah flexible Timer/Counter dengan
compare mode, interupsi internal dan aksternal, serial

| Seminar Nasional Embedded Systems, Bandung 20 September 2012

programmable USART, satu byte diarahkan untuk Twowire Serial Interface, 8-kanal ADC 10 bit dengan
optional differential input stage dengan programmable
gain untuk kemasan TQFP, sebuah programmable
Watchdog Timer dengan Internal Oscillator, sebuah SPI
serial port dan enam software selectable power saving
modes.[4]

a.
b.

c.
d.

3. Metodologi dan Perancangan


3.1 Metodologi Pelaksanaan
3.1.1 Lokasi Kegiatan
Lokasi yang dipilh untuk kegiatan ini adalah di
Kecamatan Cilengkrang Kabupaten Bandung Jawa Barat
3.1.2 Fokus Kegiatan
Kegiatan ini diarahkan untuk menghasilkan alat
pengukur curah hujan yang handal sehingga dapat
digunakan sebagai acuan informasi keadaan curah hujan
di lingkungan sekitar. Dengan adanya informasi yang
akurat diharapkan industri yang bergantung dengan
faktor curah hujan akan lebih optimal dalam proses
produksinya.[1]
3.1.3 Ruang Lingkup
a. Implementasi alat pengukur curah hujan dilakukan
di kecamatan Cilengkrang kabupaten bandung
b. Alat pengukur curah hujan ini dilengkapi dengan
lima buah sensor cuaca yaitu kecepatan angin, arah
angin, temperatur udara, kelembaban udara dan
titik embun.
c. Penggunaan alat ini dibatasi hanya untuk mencakup
wilayah kecamatan Cilengkrang
3.1.4 Bentuk Kegiatan
a. Survey lokasi tempat yang akan ditentukan untuk
pemasangan sensor dan peletakan server.
b. Instalasi dan konfigurasi perangkat keras serta
perangkat lunak. Perangkat keras yang digunakan
di sini yaitu satu buah server dan satu set sensor
cuaca yang terpasang secara outdoor. Sedangkan
perangkat lunak yaitu instalasi program-program
yang akan digunakan dalam pembuatan server dan
aplikasi pada web.
c. Ujicoba kinerja sistem untuk kemudian dilakukan
evaluasi dan konfigurasi hingga sistem dapat
berjalan optimal dan handal.
d. Alih teknologi dari peneliti kepada pengguna, yaitu
masyarakat setempat dan aparat pemerintahan
Kecamatan Cilengkrang. Alih teknologi dalam hal
ini
adalah
pelatihan
pengoperasian
dan
pemeliharaan alat serta serah terima satu set
perangkat Alat Pengukur Curah Hujan Online yang
telah terpasang dan dapat beroperasi serta
termonitor secara waktu nyata melalui web.[1]

3.2 Perancangan

e.

Sensor curah hujan, sebagai masukan parameter


curah hujan
Sensor Metpakpro, sebagai masukan parameter
temperature udara, tekanan udara, kelembaban
udara, titik embun, arah angin dan kecepatan angin
Mikrokontroler, sebagai pembaca pulsa-pulsa dari
sensor curah hujan
Converter RS-232 to RS-485, sebagai pengubah
sinyal RS-232 menjadi sinyal RS-485
Server, sebagai pengumpul data

Gambar 4. Adalah desain sistem dari alat pengukur


curah hujan online yang dipasang di kecamatan
Cilengkrang. Alat tersebut terbagi dari dua bagian yaitu
pertama adalah sensor metpak dan sensor curah hujan
juga sebuah kotak yang berisi modul-modul elektronik
yang akan dipasang diluar ruangan. Sensor-sensor
tersebut dipasang pada satu tiang. Bagian kedua adalah
komputer server dan sebuah kotak yang berisi power
supply dan converter sinyal RS-232 to RS-485. Kotak ini
dipasang di dalam ruangan berdekatan dengan server
yang berfungsi untuk membaca data curah hujan dan
data dari sensor Metpak.

Gambar 4. Desain system alat pengukur curah hujan


online

Data-data tersebut dapat diakses oleh masyarakat


melalui jaringan internet. Tentunya melalui data yang
mereka lihat nantinya mereka juga dapat mengambil
suatu kesimpulan apakah curah hujan yang dialami pada
daerah mereka dapat menimbulkan banjir atau tidak.
Konfigurasi perangkat keras untuk alat pengukur
curah hujan ini dapat dilihat seperti pada gambar 5.
Output dari sensor metpak berupa data temperature
udara, kelembaban udara,titik embun, arah angin dan
kecepatan angin. Data-data tersebut dikirimkan dari
sensor metpak menggunakan komunikasi serial RS-232.
Modul mikrokontroler berfungsi untuk menghitung
banyaknya pulsa dari sensor curah hujan. Pada saat turun
hujan maka air hujan akan masuk ke sensor curah hujan
dan akan mengaktifkan switch yang ada pada sensor
curah hujan tersebut dan menghasilkan pulsa ON/OFF
yang akan dihitung oleh mikrokontroler. Kecepatan
ON/OFF switch tersebut ditentukan oleh besar kecilnya
hujan yang turun. Data curah hujan akan dikirimkan oleh
mikrokontroler ke komputer server melalui komunikasi
serial RS-232.

3.2.1 Perancangan dan Implementasi Hardware


Perancangan hardware alat pengukur curah hujan
online ini merupakan sebuah system yang terbagi dari
beberapa bagian, yaitu :

| Seminar Nasional Embedded Systems, Bandung 20 September 2012

59

Gambar 5 Konfigurasi perangkat keras APCH

Gambar 7. Panel depan kotak modul converter RS-232 to


RS-485 dan power supply

Jarak dari sensor curah hujan dan sensor metpak ke


komputer server lebih dari 50 meter sehingga supaya
data dari sensor-sensor tersebut bisa sampai ke
komputer server dengan baik maka diperlukan modul
konverter RS-232 ke RS-485. Dibagian komputer juga
perlu dipasang konverter yang sama yaitu untuk
merubah sinyal RS-232 ke RS-485. Karena pada
komputer server tidak ada port RS-232 maka diperlukan
modul konverter RS-232 ke USB.

Gambar 7. Adalah foto panel depan dari kotak alat


pengukur curah hujan yang berisi modul elektronik
converter sinyal RS-232 to RS-485 dan sebuah power
supply switching. Pada panel depan ini hanya terdapat
satu buah lampu led untuk indikator bahwa sistem curah
hujan sedang ON.

Gambar 6. Modul Converter RS-232 to RS-485 dan


power supply

Gambar 6. Adalah modul converter RS-232 to RS485 yang sudah dirakit dan ditempatkan dalam sebuah
kotak bersama-sama dengan modul power supply.
Modul ini ditempatkan di dalam ruangan dekat dengan
komputer server. Data dari sensor curah hujan maupun
dari sensor metpak yang terdiri dari data suhu,
kelembaban udara, titik embun, arah angin dan
kecepatan angin akan diterima dahulu oleh modul ini
sebelum diterima dan diproses oleh komputer server.
Fungsi dari modul converter ini adalah untuk mengubah
sinyal RS-232 menjadi sinyal dengan level RS-485 atau
sebaliknya yaitu dari sinyal RS-232 menjadi sinyal RS485. Ada dua buah modul converter RS-232 to RS-485
yang dirakit pada kotak ini, yang kesatu digunakan
untuk melakukan komunikasi antara komputer server
dengan sensor curah hujan, dan yang kedua digunakan
untuk komunikasi antara komputer server dengan sensor
metpak. Untuk mengaktifkan kedua modul konverter
tersebut diperlukan tegangan DC 12V yang dihasilkan
oleh power supply. Tegangan DC 12V dari modul
power supply ini akan digunakan juga untuk
mengaktifkan modul-modul lainnya yang dirakit pada
kotak outdor yaitu kotak yang dipasang pada tiang di
luar ruangan.

60

Gambar 8. Kotak outdor

Gambar 8. Adalah kotak yang dipasang diluar


ruangan yang berisi modul-modul elektronik seperti
modul mikrokontroler, dua buah converter RS-232 to
RS-485 dan modul lampu flasher yang digabungkan
dengan bagian distribusi tegangan DC.

Gambar 9. Modul mikrokontroler ATMega8535

| Seminar Nasional Embedded Systems, Bandung 20 September 2012

Modul mikrokontroler berfungsi untuk menghitung


banyaknya pulsa dari sensor curah hujan. Mikrokontroler
yang digunakan untuk keperluan ini menggunakan
mikrokontroler AVR ATMega8535 buatan Atmel.
Gambar 9. adalah gambar modul mikrokontroler
ATMega 8535 yang dibuat sendiri di laboratorium
Bidang Komputer.
Ada dua buah modul converter RS-232 to RS-485
yang dipasang pada kotak ini, modul converter yang
kesatu digunakan untuk mengubah sinyal RS-232 yang
berasal dari mikrokontroler menjadi sinyal RS-485 yang
akan dikirimkan ke server. Modul converter yang kedua
berfungsi untuk mengubah sinyal RS-232 yang berasal
dari sensor Metpak yaitu untuk mengukur kecepatan
angin, arah angin, temperatur, kelembaban udara,
tekanan udara dan titik embun (dewpoint) yang
selanjutnya data-data tersebut dikirimkan ke server yang
ditempatkan di dalam ruangan.[1]
Data curah hujan dan data cuaca lainnya dibaca oleh
komputer server setiap satu menit sekali.

Gambar 10. Adalah foto alat pengukur curah hujan


(APCH) yang sudah terpasang di lokasi kecamatan
Cilengkrang. Untuk memasang sensor curah hujan dan
sensor metpak yang didalamnya terdapat sensor suhu,
kelembaban udara, titik embun, arah angin dan
kecepatan angin perlu dipilih lokasi yang baik dan
memenuhi persyaratan yaitu tidak boleh terhalang atau
tertutup oleh bangunan maupun pepohonan, selain itu
juga perlu diperhatikan masalah perawatan dan
keamanannya. Untuk hal tersebut maka di kecamatan
Cilengkrang telah dipilih dan ditentukan lokasi untuk
pemasangan sensor-sensor tersebut yaitu didepan kantor
kecamatan Cilengkrang

3.2.2 Perancangan Software


Sistem operasi yang dipasang pada komputer server
menggunakan Linux, sedangkan untuk program
pengumpulan data menggunakan bahasa C. Aplikasi
web yang dipakai menggunakan PHP dan untuk database
menggunakan MySQL.
Untuk modul mikrokontroler pemrogrammannya
menggunakan bahasa C-AVR ATMega8535.[5]

4. Hasil Kegiatan
Hasil dari kegiatan ini adalah sebuah prototype alat
pengukur curah hujan yang dilengkapi dengan sensor
metpak untuk mengukur temperatur udara, tekanan
udara, kelembaban udara, titik embun, arah angin dan
kecepatan angin yang sudah dipasang di kecamatan
Cilengkrang kabupaten Bandung. Alat ini dapat diakses
secara online oleh seluruh lapisan masyarakat sehingga
diharapkan dengan adanya informasi mengenai curah
hujan dapat meningkatkan kewaspadaan masyarakat
akan bahaya banjir.

Gambar 11. Contoh data hasil pengukuran curah hujan

Contoh data hasil pengukuran curah hujan dapat


dilihat seperti pada gambar 11. Karena tidak terjadi
hujan maka datanya masih menunjukkan angka 0.00000

Gambar 12. Sistem dash board stasiun cuaca kecamatan


Cilengkrang

Data-data cuaca yang diukur oleh alat pengukur curah


hujan di kecamatan Cilengkrang dapat diakses melalui
internet. Gambar 12. Adalah gambar sistem dash board
yang telah dibuat untuk menampilkan data-data cuaca
tersebut yaitu data curah hujan, temperatur udara,
tekanan udara, kelembaban udara, titik embun,
kecepatan angin dan arah angin.

5. Kesimpulan

Gambar 10. Alat pengukur curah hujan di lokasi


kecamatan Cilengkrang

Hasil dari kegiatan ini telah menghasilkan sebuah


prototype alat pengukur curah hujan yang dilengkapi
dengan sensor Metpak Pro yang dapat mengukur arah
dan kecepatan angin, suhu udara, kelembaban udara,

| Seminar Nasional Embedded Systems, Bandung 20 September 2012

61

tekanan udara dan titik embun. Sistem ini sudah


berfungsi dengan baik dimana data-data hasil
pengukurannya sudah dapat diakses oleh masyarakat
yang membutuhkan data-data tersebut melalui jaringan
internet.

7.

Daftar Pertanyaan
1.

6. Daftar Pustaka
[1] Laporan Akhir PKPP,Implementasi Alat Pengukur
Curah Hujan (APCH) di Kecamatan Cilengkrang
kabupaten Bandung,2012
[2] Baskoro, Adi Pranata, Sensor Curah Hujan,
http://ml.scribd.com/doc/24477597/Sensor-CurahHujan, (Diakses 10 September 2012)
[3] User Manual MetPakPro Weather Station, Gill
Instruments Ltd., 2011.
[4] Syahrul, Mikrokontroler AVR ATMega8535,
Informatika, Bandung, 2012
[5] M.Ary Heryanto, ST. dan Ir. Wisnu Adi P.,
Pemrogramman Bahasa C untuk Mikrokontroler
ATMEGA8535, Andi, 2008.

62

| Seminar Nasional Embedded Systems, Bandung 20 September 2012

Penanya: Oka Mahendra (LIPI)


Pertanyaan: Apakah data langsung keluar
dalam RS-232?
Jawaban: ya, data sudah dikeluarkan dalam
format RS232.

Implementasi Qt Embedded Linux pada SBC Alix 3d3


sebagai Antarmuka Grafis Stasiun Cuaca Nirkabel
Ana Heryana1), Sahrul Arif2), Lintang Dwi F.3)
Pusat Penelitian Informatika LIPI
aheryana@informatika.lipi.go.id1), sahrul@informatika.lipi.go.id2), lintang@informatika.lipi.go.id3)

Abstract
Qt Embedded Linux is a framework that often used to develop multi-platform software. Qt Embedded Linux
framework provides various libraries which are very easy to use and to port to various system using cross-compiler.
Cross-compiler used in this research was i586-unknown-Linux-gcc-uclic. Qt Creator & Designer layout used in the
design stage of the application and scripting application program. All the Qt libraries that deployed in the SBC
provided during the processes of rootfile system compilation. Graphical user interface applications for wireless
weather stations that have been compiled with a cross-compiler, then run on Alix 3d3 SBC that features a VGA port.
Keywords: Qt Embedded Linux, Graphical User Interface Application, SBC Alix 3d3

Abstrak
Qt Embedded Linux merupakan salah satu framework yang sering dimanfaatkan untuk mengembangkan
perangkat lunak multi-platform. Framework Qt Embedded Linux menyediakan berbagai library yang sangat mudah
untuk digunakan dan diporting keberbagai sistem dengan memanfaatkan cross-compiler. Cross-compiler yang
diperlukan pada penelitian ini yaitu i586-unknown-Linux-uclic-gcc. Qt Creator & Designer digunakan pada tahapan
perancangan layout aplikasi dan pembuatan skrip program aplikasi. Semua Qt library yang akan dideploy di SBC
disediakan pada proses kompilasi rootfile system. Aplikasi antarmuka grafis stasiun cuaca nirkabel yang telah
dikompilasi dengan cross-compiler, selanjutnya dijalankan pada SBC Alix 3d3 yang memiliki fitur port VGA.
Kata kunci: Qt Embedded Linux, aplikasi antar muka grafis, SBC Alix 3d3

2. Dasar Teori
1. Pendahuluan
Sistem operasi Linux Embedded pada perangkat
komputer papan tunggal (Single Board Computer - SBC)
dapat menjadikan sistem lebih berdaya guna. Hal
tersebut dikarenakan fitur-fitur yang disertakan dapat
disesuaikan dengan kebutuhan. Penyesuaian fitur sistem
operasi Linux Embedded dimungkinkan karena tersedia
sebagai sistem operasi berlisensi kode terbuka (open
source software).
Pada kegiatan penelitian sebelumnya telah dihasilkan
sebuah sistem operasi Linux Embedded yang
diperuntukkan pada SBC PC Engine Alix 3d series.
Sistem operasi yang dihasilkan belum disertai dengan
fitur antarmuka grafis. Antarmuka grafis diperlukan
karena perangkat SBC tersebut akan dimanfaatkan
sebagai perangkat penampil aplikasi monitoring cuaca.
Qt Embedded Linux menyediakan berbagai fitur yang
diperlukan dalam mengembangkan aplikasi berbasis
grafis pada lingkungan sistem operasi Linux Embedded.
Namun sebelumnya perlu dilakukan berbagai
penyesuaian lingkungan sistem operasi yang meliputi
konfigurasi ulang kernel dan kompilasi berbagai library
Qt Embedded Linux. Library Qt Embedded Linux
selanjutnya akan disertakan sebagai bagian dari image
root filesystem pada sistem operasi Linux Embedded.
Pengembangan
aplikasi
monitoring
cuaca
menggunakan Qt Creator dan dikompilasi dengan
menggunakan cross-compiler. File binary selanjutnya dideploy pada perangkat SBC.

Perangkat embeddedd telah menjadi salah satu


teknologi yang mendukung berbagai kehidupan manusia
saat ini. Pemanfaatannya mencakup berbagai aspek
kehidupan,
seperti
kesehatan,
transportasi,
telekomunikasi, industri, permainan, dan lain-lain.
Sayangnya belum banyak perangkat embedded yang
dibuat di Indonesia baik perangkat keras maupun
perangkat lunaknya.
Sebuah perangkat embedded, selain dituntut
menghasilkan kinerja yang baik juga harus memiliki
antar muka dengan pengguna yang menarik. Saat ini
komunitas pengembang perangkat lunak open source
telah banyak mengembangkan berbagai antar muka
grafis yang digunakan pada komputer desktop maupun
server. Kendalanya adalah antar muka grafis tersebut
tidak dapat langsung diimplementasikan pada perangkat
embedded karena keterbatasan sumber daya yang
dimiliki oleh perangkat embedded.
2.1 Interaksi Manusia dan Komputer
Wilbert O. Galitz [1] dalam bukunya menjelaskan
bahwa desain antar muka pengguna adalah bagian dari
bidang studi interaksi manusia dan komputer (Human
Computer Interaction), selanjutnya disingkat HCI. HCI
merupakan satu bidang studi, perencanaan dan desain
tentang bagaimana manusia dan komputer bekerja sama
agar kebutuhan pengguna dapat terpenuhi dengan
memuaskan dan efektif. Desainer HCI harus
memperhitungkan beberapa faktor, antara lain: 1) Apa
yang dibutuhkan dan diinginkan oleh pengguna; 2) Apa

| Seminar Nasional Embedded Systems, Bandung 20 September 2012

63

yang menjadi batasan secara fisik dari pengguna; 3)


Bagaimana persepsi pengguna dan sistem pemrosesan
informasi bekerja; 4) Apakah pengguna mendapatkan
kesenangan dan atraktif.
Desainer grafis harus memperhitungkan karakteristik
dan batasan dari perangkat keras dan perangkat lunak
pada perangkat embedded.
Antar muka pengguna merupakan bagian yang secara
langsung akan terlihat oleh pengguna. Antar muka
pengguna terdiri dari dua komponen yaitu input dan
output. Bagian input mengkomunikasikan keinginan
pengguna atau apa yang harus dikerjakan oleh komputer.
Komponen input yang biasanya digunakan pada
perangkat komputer seperti keyboard, mouse, trackball,
joystick, touchscreen, dan suara. Sedangkan output
adalah bagian dari perangkat komputer yang
menyampaikan hasil komputasi yang dilakukan mesin.
Perangkat output yang paling umum seperti monitor,
suara, dll.
2.2 Struktur Antarmuka Grafis (Graphical User
Interface)
Graphical User Interface (GUI) adalah suatu hirarki,
front-end grafis untuk sebuah perangkat lunak yang
menerima masukan dari pengguna dan event sistem dari
sekumpulan event, kemudian menghasilkan keluaran
grafis tertentu. Suatu GUI terdiri dari objek grafis dan
setiap objek memiliki properti, dimana setiap properti
memiliki nilai yang diatur melalui status grafis. [2]
Antar muka pengguna (user interface) merupakan
kumpulan teknik dan mekanisme untuk berinteraksi
dengan sesuatu. Pada antar muka grafis, interaksi
utamanya adalah melakukan pointing pada peralatan
elektronik yang berada pada tangan manusia. Manusia
berinteraksi dengan kumpulan elemen-elemen yang
disebut obyek. Obyek dapat dilihat, didengar, disentuh
atau dirasakan. Obyek akan selalu terlihat oleh pengguna
dan digunakan untuk melaksanakan pekerjaan. Obyek
akan berinteraksi dengan entitas lainnya secara bebas.
Pengguna akan memanggil operasi pada obyek yang
disebut action. Operasi meliputi akses dan modifikasi
obyek dengan menunjuk, memilih dan memanipulasinya.
Seluruh obyek memiliki standar kelakukan yang
dihasilkan.

11 MB RAM, 96 MB disk; 4) Mozilla : 12 MB RAM, 26


MB disk; dll.
Memori yang diperlukan agar dapat menjalankan
salah satunya, akan menjadi beban biaya yang cukup
besar, termasuk ukuran papan (board) dan konsumsi
listrik. Oleh karenanya akan sangat sulit untuk
mengimplementasikan grafis desktop pada lingkungan
embedded. Untuk memenuhi kebutuhan grafis, beberapa
proyek grafis telah dilaksanakan dan dipasarkan atau
disebarkan. Berikut ini beberapa proyek grafis untuk
Linux Embedded :
i) Qt/Embedded, merupakan framework untuk
aplikasi dan antar muka yang mendukung Linux
Embedded. Qt dapat digunakan untuk membuat aplikasi
yang sangat efisien dalam menggunakan memori. Qt
menjadi platform pengembangan berbagai aplikasi
embedded dari Nokia.
ii) Dillo, sebuah grafis antar muka yang sangat kecil
(berukuran sekitar 300KB), cepat dan merupakan web
browser multi-platform berlisensi open source yang
ditulis dalam bahasa C dan dikembangkan menggunakan
library GTK+. Dillo memiliki efisiensi tinggi dan
ketergantungan terhadap librari sangat kecil sehingga
sangat cocok untuk perangkat embedded. Dillo dapat
dikombinasikan dengan webserver sebagai window
manager pada perangkat divais.
iii) DirectFB, sebuah librari kecil yang menyediakan
akselerasi terhadap perangkat keras grafis, penanganan
perangkat input dan abstraksinya, sistem window
terintegrasi dengan dukungan window tembus dan multi
layer pada perangkat framebuffer Linux.

iv) MiniGUI, adalah proyek pengembangan


perangkat lunak grafis open source yang cepat,
stabil cross-operating system. MiniGUI utamanya
disediakan untuk perangkat embedded.
v) MicroWindows, sebuah proyek open source
yang mengembangkan lingkungan grafis modern
untuk perangkat embedded. Microwindows dapat
dikembangkakn dan dijalankan diatas sistem
operasi Linux desktop dan dikompilasi dengan
cross-compile agar dapat dijalankan pada perangkat
embedded.
2.4 . Qt Embedded Linux

2.3 GUI pada perangkat embedded


Saat memasang atau instalasi sistem operasi Linux
pada komputer desktop atau komputer server telah
tersedia beberapa standar komponen grafis. Biasanya
dapat memilih sistem X-Window sebagai dasar antar
muka grafis.
Pada sistem operasi Linux Embedded graphic stack
antar muka grafis pada Linux desktop tidak dapat
digunakan. Hal ini dikarenakan perangkat embedded
memiliki keterbatasan sumber daya, baik keterbatasan
ruang storage atau rekam jejak pada memori. Sebagai
contoh, perangkat embedded memiliki Flash dengan
kapasitas 2 sampai 16 MB untuk memuati program, dan
4 sampai 32 MB untuk menjalankannya. Coba amati
daftar kebutuhan memori untuk antar muka grafis
berikut ini: 1) X Window System : 5M RAM, 15 MB
disk; 2) GNOME : 14 MB RAM, 95 MB disk; 3) KDE :
64

Qt for Embedded Linux adalah framework


menggunakan bahasa C++ untuk pengembangan GUI
dan aplikasi pada perangkat embedded. Qt for Embedded
Linux dapat berjalan pada berbagai arsitektur processor,
biasanya menggunakan sistem operasi Embedded Linux.
Qt for Embedded Linux menyediakan standar Qt API
untuk perangkat embedded dengan sistem window yang
sangat ringan.
Fitur-fitur utama yang disediakan oleh Qt Embedded
Linux untuk mengoptimalkan pengembangan aplikasi
untuk perangkat embedded antara lain : i) Sistem
Window (QWS) yang kompak dan efisien; ii) Virtual
Frame Buffer (QVFb); iii) Inter-Process Communication
(IPC); iv) Extended Font Format.
Aplikasi Qt for Embedded Linux secara langsung
menyimpan aplikasi ke dalam framebuffer, mengurangi
kebutuhan akan X Window System dan menghemat
penggunaan memory. Linux framebuffer secara default

| Seminar Nasional Embedded Systems, Bandung 20 September 2012

diaktifkan (enabled) pada semua distribusi sistem operasi


Linux modern. Lihat gambar 1, arsitektur Qt Embedded
Linux.

Server, berfungsi sebagai data pooling dari


beberapaa statiun cuaca yang telah dibangun.
Penampil monitoring, menggunakan SBC PC
Engine Alix 3d3 dengan sistem operasi Linux
Embedded yang dilengkapi dengan library Qt
Embedded Linux.

Gambar 1. Arsitektur umum Qt Embedded Linux

Aplikasi Qt for Embedded Linux memerlukan sebuah


server aplikasi untuk menjalankannya, atau memiliki
server aplikasi sendiri. Beberapa aplikasi Qt for
Embedded Linux dapat dijadikan sebagai server aplikasi.
Ketika terdapat lebih dari satu aplikasi dijalankan,
aplikasi berikutnya terhubungkan ke server aplikasi
sebagai sebuah client.
Proses server dan client memiliki tanggungjawab
berbeda, yaitu: a) proses pada akan server mengatur
pointer handling, character input, dan screen output.
Selain itu, server mengatur penampilan kursor pada layar
dan screen saver. Class yang menangani server aplikasi
adalah QWSServer; b) Proses client khusus melakukan
seluruh operasi aplikasi. Class yang menangani client
aplikasi adalah QWSClient.

Gambar 3. Topologi aplikasi monitoring cuaca

Gambar 2. Mekanisme kerja Server aplikasi dan


Klien Aplikasi

3. Metodologi dan Pengujian


3.1 Topologi Sistem
Aplikasi yang dikembangkan menggunakan topologi
sistem seperti pada Gambar 3.
Aplikasi monitoring cuaca diatas terdiri dari bagianbagian :
Sensor cuaca
yang terdiri dari sensor
temperatur udara, sensor humidity, sensor arah
angin, sensor kecepatan angin, sensor radiasi
matahari, sensor curah hujan, dan sensor
tekanan udara.
Data logger, menggunakan SBC PC Alix 3d2
yang tidak memiliki fitur port VGA.

3.2. Analisa dan Desain Aplikasi


Desain antarmuka grafis untuk embedded system
berbeda dengan komputer desktop. Pada embedded
system pengembangan grafis harus memperhatikan
keterbatasan resource yang dimiliki oleh perangkat
keras.
Pengembangan aplikasi monitoring atau pemantau
cuaca menggunakan metoda berbasis object oriented.
Dimana pada tahap analisa menggunakan perangkat
bantu antara lain : User case diagram, Sequence
diagram, State diagram dan Class diagram seperti pada
Gambar
4.

| Seminar Nasional Embedded Systems, Bandung 20 September 2012

Gambar 4. User Case Diagram

65

Pada User Case diagram, sebagai external entity


adalah server yang akan memberikan respon terhadap
setiap permintaan dari sistem. Entity yang digunakan
pada sistem yaitu Communication, Parsing, Display dan
Warning.

Gambar 8. Desain antarmuka grafis


dengan Qt Creator

Gambar 5. Sequence diagram untuk Connection

Sebelum data diambil dari server, perlu diperiksa


terlebih dahulu keberadaan koneksi antara sistem dengan
server. Jika belum ada koneksi dengan server, maka akan
ditampilkan pesan kesalahan.
Selanjutnya, data yang disediakan di server akan
dibaca dan di-parsing berdasarkan jenis sensor yang
digunakan. Hasillnya akan ditampilkan berupa image
pada aplikasi. Lihat gambar 5 (Sequence diagram
parsing).

Qt library yang disertakan pada proyek aplikasi yaitu


Core, GUI dan Network. Seluruh file eksternal berupa
berbagai file gambar yang diperlukan didefinisikan pada
bagian 'resources'. Inisialisasi program diletakkan pada
file main.cpp yaitu:
#include <QtGui/QApplication>
#include "mainwindow.h"
int main(int argc, char *argv[])
{
QApplication a(argc, argv);
MainWindow w;
//w.show();
w.getData();
w.showFullScreen();
return a.exec();
}

Baris perintah yang berfungsi untuk mengambil data


dan sekaligus melakukan parsing.

Gambar 6. Sequence Diagram Parsing

void MainWindow::updateData()
{
mNetManager = new
QNetworkAccessManager(this);
QEventLoop loop;
mReply = mNetManager->get
(QnetworkRequest
(QUrl("http://wws.informatika.lipi.go.id/data_t
mp1")));
connect(mReply,SIGNAL(finished()),
&loop,
SLOT(quit()));
loop.exec();
QTextStream stream;
stream.setDevice(mReply);
ui->textEdit->setText(stream.readAll());
}

Seluruh data yang telah diambil dari server


selanjutnya ditampikan pada antarmuka grafis.

Gambar 7. State Diagram

Class-class yang digunakan pada aplikasi antara lain :


parsing, server, communication, gen_image, display.
Lihat Gambar 7. Berikut ini desain antarmuka grafis
yang dibuat dengan menggunakan Qt Creator. (Lihat
Gambar 8).

void MainWindow::getData()
{
mNetManager = new
QNetworkAccessManager(this);
QEventLoop loop;
mReply = mNetManager->get
(QNetworkRequest(QUrl("http://wws.informatika.l
ipi.go.id/data_tmp1")));
connect(mReply,SIGNAL(finished()),
&loop, SLOT(quit()));
loop.exec();
QTextStream stream;
stream.setDevice(mReply);
ui->textEdit->setText(stream.readAll());
// Parsing Data Sensor

66

| Seminar Nasional Embedded Systems, Bandung 20 September 2012

QStringList listA;
QString data;
data.append(ui->textEdit->
toPlainText());
listA = data.split(";");
ui->suhu->display(listA.at(1));
ui->humidity->display(listA.at(3));
ui->windspeed->display(listA.at(2));
ui->winddirection->display(listA.at(4));
ui->rainfall->display(listA.at(7));
ui->airpressure->display(listA.at(5));
ui->sunradiation->display(listA.at(6));
}

Aplikasi diuji terlebih dahulu pada komputer


pengembangan dengan menggunakan compiler sesuai
dengan arsitektur prosesor pada komputer tersebut.
Setelah aplikasi yang dibuat berjalan sesuai dengan yang
diinginkan, selanjutnya dilakukan proses kompilasi
menggunakan cross-compile '586-unknown-Linux-gccuclibc' untuk lingkungan SBC PC Engine Alix.
3.3 Kompilasi ulang image root filesystem Linux
Embedded
Image root file system harus disesuaikan lagi agar
library Qt Embedded Linux disertakan. Pengaturan
konfigurasi menggunakan perangkat bantu 'buildroot'
dengan menyertakan dukungan 'WCHAR' dan seluruh
library Qt Embedded Linux. Proses konfigurasi melalui
'menuconfig ncurses' dibawah direktori 'buildroot'.
Setelah proses kompilasi dilaksanakan dengan
perintah 'make', akan terbentuk root filesistem dengan
ukuran yang jauh lebih besar. Pada eksperimen yang
telah dilakukan didapat besarnya root filesystem berkisar
100MB.

4. Hasil Pengujian

Antarmuka memperlihatkan hasil pengukuran waktu


nyata untuk masing-masing sensor yaitu sensor
temperatur udara (dalam cC), sensor humidity (dalam
%), sensor arah angin (dalam satuan derajat), sensor
kecepatan angin (dalam MPH), sensor radiasi matahari
(dalam Wm2), sensor curah hujan (dalam mm), dan
sensor tekanan udara (dalam milibar). Hasil pengukuran
disajikan dalam bentuk angka, yang akan diperbaharui
sesuai hasil pengukuran terbaru secara periodik. Selain
hasil pengukuran saat ini, juga ditampilkan nilai terendah
dan tertinggi dari pengukuran hari ini dan kemarin
sebagai bahan perbandingan.

5. Kesimpulan
Implementasi Qt Embedded Linux pada board Alix
3d3 sebagai antarmuka grafis stasiun cuaca dapat
berjalan dengan baik. Aplikasi antar muka yang dideploy
pada komputer pengembang telah berhasil dikompilasi
menggunakan cross-compile '586-unknown-Linux-gccuclic' dan dapat berjalan pada board Alix 3d3 yang
menggunakan image root filesystem Linux Embedded
yang telah dikompilasi ulang.

6. Daftar pustaka
[1] Wilbert O. Galitz, The Essential Guide to User
Design: An Introduction to GUI Design Principles
and Technique, Wiley Publishing, Canada, 2007.
[2] Atif M. Memo, Mary Lou Soffa and Martha E.
Pollack, Coverage Criteria for GUI ,Testingetc.,
2011.
[3] Erick Kurniawan, Membangun Aplikasi Mobile
dengan Qt SDK, Penerbit Andi, Jakarta, 2011.
[4] Mark
Summerfield,
Advanced
Qt

Programming, Addison-Wesley, 2011.

Image root filesystem baru dan aplikasi selanjutnya


diujicobakan pada SBC PC Engine Alix 3d3 yang
memiliki fitur utama sebagai berikut : processor AMD

7. Daftar Pertanyaan
Geode LX 800 500Mz, DRAM 25MB, Storage CFD,
VGA Port, Ethernet Port, Serial Port, USB Port. Pada
gambar 9 diperlihatkan hasil pengujian.

1.

2.

Penanya: Agus Subekti (LIPI)


Pertanyaan: Apakah bisa dipakai untuk SBC
lain?
Jawaban: bisa, hanya harus dicompile ulang,
disesuaikan dengan prosesornya.
Penanya: Benny (PT CSL)
Pertanyaan: Harga apabila dibandingkan dengan
mini PC?
Jawaban: mini PC lebih mahal

Gambar 9. Hasil Pengujian

| Seminar Nasional Embedded Systems, Bandung 20 September 2012

67

68

| Seminar Nasional Embedded Systems, Bandung 20 September 2012

Implementasi dan Unjuk Kinerja Penggunaan RouterBOARD R52


untuk Komunikasi Data Wireless Weather Station
pada Daerah Sub-urban diatas 5Km
Ferdian Yunazar1), Efendi Zaenudin2), Suyoto3)
Pusat Penelitian Informatika LIPI
ferdian@informatika.lipi.go.id1), efendi@informatika.lipi.go.id2), yoto@informatika.lipi.go.id3)

Abstract
The use of wireless link as medium for transferring data between nodes in Wireless Weather Station has been
deployed. Those nodes are separated within more than 5 Km through sub-urban areas. The Line of Sight and Fresnel
Zone between nodes has been observed and simulated using non commercial software. From the simulation result we
obtained that System Operating Margin (Rx Signal Level Rx Sensitivity) is 10.1 dB sufficient for the data transferring
between two nodes to work well even in such extreme condition.
Keywords: Wireless Weather Station, Line of Sight, Fresnel Zone, System operating Margin

Abstrak
Penggunaan jaringan nirkabel sebagai media transmisi data antar node pada Wireless Weather Station telah
diterapkan. Kedua node tersebut terpisah dengan jarak 5 Km melewati daerah yang padat. Line of Sight dan Fresnel
Zone telah di observasi dan di simulasikan menggunakan perangkat lunak non komersial. Dari hasi simulasi kami
dapatkan bahwa nilai System Operating Margin (Rx Signal Level Rx Sensitivity) sebesar 10.1 dB cukup untuk transfer
data antar dua node agar dapat bekerja dengan baik bahkan dalam kondisi cuaca yang ekstrem sekalipun.
Kata kunci: Wireless Weather Station, Line of Sight, Fresnel Zone, System operating Margin

1. Pendahuluan
Teknologi komunikasi nirkabel memiliki tipikal yang
dapat menghubungkan jaringan point to point dalam
jarak 5 Km. Komunikasi nirkabel sangat cocok untuk
digunakan sebagai suatu solusi telekomunikasi yang
murah dan handal. Wireless Weather Station dengan
sensor dan server yang terpisah pada jarak yang cukup
jauh dapat saling mengirimkan dan menerima data cuaca
dengan menggunakan teknologi nirkabel.
Wireless Weather Station adalah suatu stasiun
pencatatan data cuaca yang dilengkapi dengan sensor
suhu, kelembaban udara, arah angin, kecepatan angin
dan curah hujan. Kelebihan dari Wireless Weather
Station ini adalah dapat di pasang pada daerah remote,
kemudian hasil pembacaan data sensor dapat dikirimkan
ke server tanpa menggunakan kabel (wireless). Hal ini
memudahkan pengguna untuk mengambil data hasil
pencatatan senor cuaca dalam rentang waktu tertentu.
Sistem transfer data pada stasiun cuaca nirkabel ini
menggunakan frekuensi dengan lisensi bebas yaitu IEEE
802.11 b/g 2,4 GHz. Dalam penggunaannya frekuensi ini
memiliki banyak interferensi yang menjadi hambatan
dan gangguan dalam komunikasi data antar node stasiun
cuaca.
Pada
penelitian
sebelumnya[1]
telah
mengimplementasikan komunikasi nirkabel untuk jarak
dibawah 5 Km yaitu 2,18 Km melalui daerah perkotaan.
Dimana terdapat banyak halangan di antara Transmitter
dan Receiver pada sisi sensor dan server seperti
bangunan tinggi, pepohonan dan interferensi sinyal dari
pemakai unlicensed band lainnya. Dari hasil pengujian
mereka di lapangan didapatkan bahwa System Operating
Margin (Rx Signal Level Rx Sensitivity) adalah 43,01

dB dan hasil itu telah lebih dari ambang batas normal


untuk sistem agar dapat bekerja dengan baik bahkan
dalam cuaca ekstrem sekalipun.
Pada penelitian kali ini dilakukan uji implementasi
dan kinerja dari sambungan Wireless point to point untuk
jarak lebih dari 5 Km melalui daerah Sub-urban. Dimana
untuk melewati daerah Sub-urban biasanya sinyal
Wireless 2,4 GHz tidak memiliki interferensi yang cukup
signifikan dibandingkan daerah perkotaan. Hal yang
menjadi acuan disini adalah Line of Sight dari kedua sisi
pemancar dan penerima, kemudian Fresnel Zone dan
System Operating Margin. Dari hasil penelitian di
lapangan kita dapatkan hasil SoM adalah sebesar 10,01
dB. Suatu nilai yang telah dapat dikatakan cukup bagi
sistem agar dapat berjalan dengan baik dalam menerima
dan mengirimkan data dari sensor ke server pada jarak
melebihi 5 Km.

2. Dasar Teori
2.1 Perencanaan Jalur Komunikasi (Link
Planning)
Sebuah sistem komunikasi sederhana terdiri dari dua
radio, masing-masing yang terkait dengan antena,
keduanya terpisah oleh jalur (path) yang harus di lalui.
Agar terjadi komunikasi antara keduanya, radio akan
memerlukan sinyal minimal ditangkap oleh antena dan
masukan kepada konektor antena di radio. Menentukan
apakah sebuah sambungan layak adalah proses yang
disebut perhitungan link budget. Apakah sebuah sinyal
dapat atau tidak dilalukan antar radio tergantung pada
kualitas dari peralatan yang digunakan dan pada

| Seminar Nasional Embedded Systems, Bandung 20 September 2012

69

kehilangan sinyal karena jarak, biasa disebut path loss


(kerugian path)[2].
2.2
Perhitungan link budget
Daya yang tersedia dalam sebuah sistem 802,11 dapat
dikarakterisasi oleh faktor berikut[3]:
a. Transmit Power (Daya pancar);
Dinyatakan dalam milliwatts atau dBm. Daya
pemancar berkisar 30mW sampai 200mW atau
lebih. Daya pancar maksimum yang legal di
Indonesia adalah 100mW. Daya Tx sering kali
tergantung pada kecepatan transmisi. Daya Tx yang
diberikan perangkat biasanya di tentukan dalam
manual yang diberikan oleh pabrik, namun
terkadang sulit untuk menemukan.
b. Antenna Gain (Penguatan Antena);
Antena adalah perangkat pasif yang dapat membuat
efek amplifikasi berdasarkan bentuk fisik mereka.
Antena memiliki karakteristik yang sama ketika
menerima (receiving)dan mengirim (transmiting).
Jadi antena 12 dBi hanya sebuah 12 dBi antena,
tanpa perlu menentukan menggunakan modus
pengiriman atau penerimaan jenis apa. Antena
parabola mempunyai penguatan 19-24 dBi,
sedangkan omnidirectional antena memiliki 5-12
dBi, dan antena sektoral memiliki penguatan sekitar
12-15 dBi.
c. Minimal Received Signal Level (RSL),
Minimum RSL selalu dinyatakan sebagai dBm
negatif (- dBm) dan adalah kekuatan sinyal radio
terendah yang dapat dibedakan. RSL minimum
adalah tergantung kecepatan, dan sebagai aturan
umum kecepatan terendah (1 Mbps) mempunyai
sensitivitas terbesar. Minimum RSL biasanya
berada dalam kisaran antara -75 ke -95 dBm.
Seperti daya Tx, spesifikasi RSL harus disediakan
oleh pabrik pembuat peralatan.
d. Cable Losses (Kerugian kabel);
Beberapa energi sinyal akan hilang di kabel, di
konektor atau pada perangkat lain, pada saat sinyal
merambat dari radio ke antena. Hilangnya
tergantung pada jenis kabel dan panjangnya.
Kerugian sinyal untuk coaxial kabel pendek
termasuk konektornya biasanya cukup rendah, yang
berkisar antara 2-3 dB. Adalah lebih baik untuk
memiliki kabel sependek mungkin.
Ketika menghitung path loss, beberapa efek harus
dipertimbangkan. Kita harus mempertimbangkan
kerugian di udara / ruang (free space loss), redaman
(attenuation) dan penyebaran (scattering). Daya sinyal
akan berkurang oleh penyebaran geometris dari muka
gelombang, umumnya dikenal sebagai free space loss.
Dengan mengabaikan semua hal, semakin jauh jarak
antara dua radio, maka semakin kecil penerimaan sinyal
yang disebabkan oleh free space loss. Hal ini tidak
tergantung pada kondisi lingkungan, hanya tergantung
pada jarak. Kerugian ini terjadi karena energi dari sinyal
yang teradiasi menjadi menyebar sebagai akibat jarak
dari pemancar.
Menggunakan decibel untuk menyatakan nilai
kerugian (loss) dan menggunakan 2,45 GHz sebagai
frekuensi sinyal, maka persamaan untuk free space loss
70

adalah Lfsl = 40 + 20 * log (r) dengan Lfsl dinyatakan


dalam dB dan r adalah jarak antara pemancar dan
penerima dalam satuan meter.
Kontribusi yang kedua pada path loss adalah redaman
(attenuation). Hal ini terjadi karena sebagian kekuatan
sinyal diserap ketika gelombang melalui benda padat
seperti pohon, dinding, jendela dan lantai bangunan.
Redaman dapat bervariasi, tergantung pada struktur
objek yang dilalui sinyal, dan sangat sulit untuk
mengukurnya. Cara yang paling gampang untuk
menghitung kontribusinya terhadap total kerugian (total
loss) adalah dengan menambahkan "allowed loss" ke
free space. Misalnya, pengalaman menunjukkan bahwa
pohon dapat menambahkan 10 hingga 20 dB loss untuk
setiap pohon pada path yang langsung (direct path),
sementara dinding berkontribusi 10 hingga 15 dB
tergantung konstruksi pada dinding tersebut.
Sepanjang link path, energi RF meninggalkan antena
pengirim dan energi akan menyebar. Beberapa energi RF
mencapai penerimaan antena secara langsung, sedangkan
beberapa akan dipantulkan oleh tanah. Sebagian dari
energi RF yang dipantulkan oleh tanah akan mencapai
antena penerima. Karena sinyal yang dipantulkan
(reflected signal) harus menempuh jalan yang lebih jauh,
maka ia tiba di antena penerima lebih lambat dari sinyal
yang langsung (direct signal). Efek ini disebut
multipath, atau dispersi sinyal. Dalam beberapa kasus
sinyal yang dipantulkan akan berakumulasi /
menambahkan nilai sinyalnya tapi tidak menimbulkan
masalah. Ketika sinyal berakumulasi / bertambah pada
fasa yang berbeda, sinyal yang diterima akan tidak
berguna. Dalam beberapa kasus, penerimaan sinyal di
antena dapat menjadi hilang oleh sinyal yang di
pantulkan. Hal ini dikenal sebagai fading yang ekstrem,
atau nulling. Ada teknik sederhana yang digunakan
untuk menangani multipath, disebut (antenna diversity).
Teknik ini menambahkan antena kedua untuk radio.
Multipath adalah fenomena yang terjadi di lokasi yang
spesifik. Jika dua sinyal yang berbeda fasa saling
menghilangkan di satu lokasi, mereka tidak akan saling
menghilangkan di lokasi ke dua, di dekat lokasi pertama.
Jika terdapat dua antena, setidaknya satu dari antena
tersebut akan dapat menerima sinyal yang bermanfaat,
bahkan jika antena yang lain menerima sinyal yang
rusak. Dalam perangkat komersial, antena switching
diversity digunakan: ada beberapa antena pada beberapa
masukan, dengan satu penerima. Sinyal yang diterima
hanya melalui satu antena pada suatu waktu. Saat
memancar, radio akan menggunakan antena terakhir
yang digunakan untuk penerimaan. Distorsi yang
diberikan oleh multipath menurunkan kemampuan dari
sisi penerima (receiver) untuk mengembalikan sinyal
asli, hal ini sama dengan yang terjadi pada sinyal loss.
Cara sederhana untuk memperhitungkan efek dari
penyebaran dalam perhitungan path loss adalah
mengubah nilai eksponen dari faktor jarak dari rumus
free space loss. Nilai eksponen cenderung meningkat
pada lingkungan yang banyak penghamburan
(scattering). Nilai eksponen 3 dapat digunakan di luar
ruangan dengan pohon-pohon, sedangkan 4 dapat
digunakan untuk lingkungan indoor[4][6].

| Seminar Nasional Embedded Systems, Bandung 20 September 2012

Ketika free space loss, redaman (attenuation), dan


penyebaran (scattering) digabungkan, maka path loss
dapat dihitung dengan:
L (dB) = 40 + 10 * n * log (r) + L (allowed)
Untuk perkiraan kasar kelayakan sambungan (link
feasibility), kita dapat mengevaluasi dengan hanya free
space loss. Lingkungan dapat membawa kerugian sinyal
lebih lanjut, dan harus dianggap sebuah evaluasi dari
sambungan yang lebih tepat. Kondisi lingkungan pada
kenyataannya adalah salah satu faktor yang sangat
penting, dan tidak boleh dilalaikan.
Untuk mengevaluasi apakah sebuah sambungan
layak, kita harus mengetahui karakteristik dengan
melakukan perhitungan ini, kita hanya perlu
menambahkan daya Tx dari satu sisi link. Jika kita
menggunakan radio yang berbeda di kedua sisi
sambungan, anda harus menghitung path loss dua kali,
sekali untuk setiap arah (menggunakan daya Tx yang
sesuai untuk setiap perhitungan). Menambah semua
penguatan dan mengurangi kerugian akan memberikan,
TX Power Radio
+
Antenna Gain Radio Pengirim
Cable Losses Radio Pengirim
+
Antenna Gain Radio Penerima
Cable Losses Radio Penerima
---------------------------------------= Total Gain
Mengurangi Path Loss dari Total Penguatan:
Total Gain
Path Loss
----------------------------------------= Level sinyal (Signal Level)pada Penerima
Jika sinyal yang dihasilkan lebih besar dari level
penerima sinyal minimum, maka sambungan tersebut
adalah layak! Sinyal yang diterima cukup kuat bagi radio
untuk digunakan. Ingat bahwa minimum RSL selalu
dinyatakan sebagai negatif dBm, sehingga -56 dBm
adalah lebih besar dari -70 dBm. Pada suatu path, variasi
di path loss selama periode waktu tertentu dapat sangat
besar, sehingga margin (perbedaan antara level sinyal /
Signal Level dan sinyal tingkat minimum sinyal yang
diterima / RSL) harus dipertimbangkan. Margin ini
adalah jumlah sinyal di atas kepekaan radio yang harus
diterima untuk memastikan sambungan radio yang stabil
dan berkualitas tinggi selama cuaca buruk dan gangguan
atmosfer lainnya. Margin antara 10 hingga 15 dB
biasanya cukup. Untuk memberikan ruang untuk
redaman dan untuk multipath dalam menerima sinyal
radio, margin 20dB harusnya cukup aman[5][7].
2.3
Fresnel Zone
Setelah memperhitungkan Link Budget manual, maka
selanjutnya kita perlu menentukan Fresnel Zone pada
jaringan yang kita bangun. Konsep kejernihan Fresnel
Zone ini dapat digunakan untuk menganalisa interferensi
dan gangguan yang disebabkan oleh halangan yang
terdapat pada jalur gelombang radio yang kita bangun.
Ilustrasi Fresnel Zone pada suatu jaringan dapat kita
lihat pada Gambar 1.

Gambar (1). Ilustrasi Fresnel Zone


Gambar 1. Ilustrasi Fresnel Zone

Perlu di catat bahwa ada banyak kemungkinan


Fresnel Zone, tapi kita hanya akan fokus pada wilayah
atau zone 1 (First Fresnel Zone) saja. Jika di wilayah
zone 1 terhalang oleh penghalang, seperti, pohon atau
bangunan, maka sinyal yang akan tiba di ujung yang
akan semakin kecil. Pada saat kita membuat hubungan
nirkabel, kita perlu memastikan bahwa wilayah atau
zone tersebut bebas dari hambatan. Tentunya saja tidak
ada yang sempurna, dalam jaringan nirkabel biasanya
kita memastikan bahwa 60 % dari radius dari Fresnel
Zone yang pertama bebas dari penghalang (0,6F1).
Untuk membuat sebuah Fresnel Zone, pertama kali
haruslah ditentukan RF Line of Sight (RF LoS), yaitu
suatu garis lurus antara antena pemancar dan penerima.
Zona di sekitar RF LoS tersebut akan menjadi Fresnel
Zone. Persamaan Fresnel Zone pada titik P sepanjang
garis lurus RF LoS adalah:
Fn

n d1d 2
d1 d 2

(1)

di mana,
Fn adalah radius Fresnel Zone urutan ke n (meter)
d1 adalah jarak dari titik P ke salah satu antena
(meter)
d2 adalah jarak dari titik P ke antena yang lain
(meter)
adalah panjang gelombang dari sinyal yang
dipancarkan (meter)
Radius maksimal penampang melintang dari Fresnel
Zone yang pertama yang terletak pada titik tengah garis
lurus RF LoS dapat dihitung:
r 17.32

D
4f

(2)

dengan,
r adalah radius (meter)
D adalah jarak antara antena pemancar dan
penerima (kilometer)
f adalah frekuensi gelombang yang dipancarkan
(gigahertz).

| Seminar Nasional Embedded Systems, Bandung 20 September 2012

71

3.

Metodologi

Konfigurasi sistem WWS yang dilakukan pada


penelitian kali ini dapat dlihat pada Gambar 2.

\
Gambar 4. Antenna dan AP terpasang di Lembang

Gambar 2. Konfigurasi Sistem WWS

Penelitian ini menitikberatkan pengujian pada sisi


pemancar dan penerima saja. Dimana jaringan
komunikasi yang digunakan pada kedua sisi adalah
jaringan nirkabel 2,4GHz.
Metode pengujian keandalan pada sistem memiliki 2
tahap:
1. Pengujian pada sistem komunikasi (keandalan
alat)
2. Pengujian
sistem
komunikasi
dengan
melibatkan faktor jarak (link communication).
Sedangkan metode pengambilan data untuk data
logger pada server melalui 2 tahapan:
1. WiFi connection, yaitu dari SBC ke akses poin
kemudian dari server ke akses poin lalu ke
SBC.
2. Import Data, yaitu pengambilan data secara text
dari
SBC
secara
periodik
kemudian
pengkonversian data text ke SQL.

4. Analisa dan Hasil Pengujian

Alat yang digunakan pada pengujian kali ini adalah


Access Point RB411AH yang dilengkapi dengan minipci
RouterBOARD R52 dan grid antenna HG2424G.
Gambar 3 dan Gambar 4 adalah Gambar alat yang
terpasang di Gedung 20 LIPI dan Lembang.
Dari hasil pengujian di dapatkan hasil System
operating Margin (SoM) sebesar 10,1dB. Hasil ini cukup
bagi sistem untuk dapat melakukan komunikasi data
antara sensor dan server. Gambar 5 dibawah
menunjukkan hasil pengujian komunikasi link antara
LIPI Gedung 20 dan Lembang.

Gambar 5. Wireless Link Communication LIPI Gedung 20


- Lembang

Success margin untuk sambungan yang baik


adalahdiatas 10,1dB. Gambar 6 mendeskripsikan kurva
margin dari SoM pada sistem yang dibuat.

Pengujian sistem komunikasi dilakukan pada kedua


sisi pengirim dan penerima yang jaraknya terpisah dalam
jarak 5,48 Km dari server yang berada di Gedung 20
LIPI Sangkuriang ke sensor cuaca yang terletak di
Lembang.

Gambar 6. Success Margin


Gambar 3. Antenna dan AP terpasang di Gedung 20

72

Minimum clearance pada sistem ini ada pada 1,4F1di


jarak 3,6Km, sedangkan Total Lossnya adalah 115,9 dB.
Hasil ini dapat dilihat pada Gambar 7.

| Seminar Nasional Embedded Systems, Bandung 20 September 2012

Gambar 7. Free space loss, total loss dan minimum


clearance fresnel zone

5. Kesimpulan
Pada peneliian kali ini telah dilakukan pengujian
kinerja dari RouterBOARD R52 minipci sebagai router
nirkabel yang digunakan pada Wireless Weather Station.
Sistem yang dibangun memberikan hasil yang cukup
memuaskan dan dapat dihandalkan. Karena komunikasi
data antar sensor dan server dapat terhubung dengan
baik. SoM sebesar 10,1dB merupakan batas yang cukup
untuk melakukan sambungan komunikasi jaringan
nirkabel diatas 5 Km pada daerah sub-urban.

[3] 802.11 Networks: The Definitive Guide, 2nd


Edition. Matthew Gast, OReilly Media. April
2005. ISBN #0-596-10052-3.
[4] 802.11 Wireless Network Site Surveying and
Installation. Bruce Alexander, Cisco Press.
November 2004. ISBN #1-587-05164-8.
[5] Wireless Hacks, 2nd Edition. Rob Flickenger
and
Roger Weeks, OReilly Media. November
2005. ISBN #0-596-10144-9.
[6]
Deploying License-Free Wireless Wide-Area
Networks. Jack Unger, Cisco Press. July 2003.
ISBN #1-587-05069-2.
[7] How To Accelerate Your Internet, A free book
about bandwidth optimization. October 2006.
ISBN #978-0-9778093-1-8.

7. Daftar Pertanyaan
1.

2.

3.

6. Daftar Pustaka
[1] Suyoto, dkk, Reliabilitas Sistem Transfer Data
Nirkabel pada Alix3d2 untuk Stasiun Cuaca,
Jurnal INKOM volume 6, Nomor 1. Bandung
2012. ISSN 1979-8059.
[2] Wireless Networking in the Developing World
Hacker Friendly LLC, 2nd Edition, December ,
2007.

4.

Penanya: Indera Wibawa (PT LEN)


Pertanyaan:
Jawaban:
Penanya: Aam Muharam (LIPI)
Pertanyaan: Berapa daya yang dibutuhkan?
Jawaban: kurang dari 12 W/s
Penanya: Diacit (CV. Annisa Bandung)\
Pertanyaan: Metode handshake dan sensor
apakah yang kontinyu
Jawaban:
Penanya: Panuksma Wiku Hendro (indomaret)
Pertanyaan: jenis sensor?
Jawaban: angin, dll.

| Seminar Nasional Embedded Systems, Bandung 20 September 2012

73

74

| Seminar Nasional Embedded Systems, Bandung 20 September 2012

Sistem Akuisisi Data Berbasis Mikrokontroler ATMega128


untuk Stasiun Cuaca
Oka Mahendra

Bambang Sugiarto

Pusat Penelitian Informatika LIPI


Jln. Cisitu No.21/154 D Bandung
oka@informatika.lipi.go.id

Pusat Penelitian Informatika LIPI


Jln. Cisitu No.21/154 D Bandung
bambang@informatika.lipi.go.id

Abstract
In this research, we designed and implemented a data acquisition system based on microcontroller
ATMega128. The data acquisition system was used to build wireless weather station that is being developed by the
Research Center of Informatics, Indonesian Institute of Sciences. The data acquisition system has universal input, so it
can deal with any type of sensor that is linear with output 4-20mA or 0-5V. The system is able to read and process
maximum eight analog, digital, and counter sensors, or a combination of three types of sensors. The system uses RTC
DS1307 as a timer and RS-232 interface for data communications. Data from the sensors is processed by the
microcontroller by averaging, multiplying the gradient (slope), and adding the constants in accordance with the value
of the sensor. The data is transmitted along with times from RTC after the microcontroller receives a data request
command. Other commands were built in the data acquisition system are setting time, channel type, calibration, and
interval.
Keywords: data acquisition, microcontroller, sensor, data communication, calibration

Abstrak
Pada penelitian ini telah dirancang dan diimplementasikan sistem akuisisi data berbasis mikrokontroler
ATMega128. Sistem akuisisi data ini digunakan untuk membangun stasiun cuaca tanpa kabel yang sedang
dikembangkan oleh Pusat Penelitian Informatika LIPI. Sistem akuisisi data ini dibuat universal, sehingga mampu
menangani sensor dengan jenis apapun yang bersifat linear dengan output 4-20 mA atau 0-5 V. Sistem mampu
membaca dan memproses data maksimal delapan buah sensor analog, digital, dan counter, atau kombinasi ketiga jenis
sensor tersebut. Sistem menggunakan RTC DS1307 sebagai pewaktu dan interface RS-232 sebagai jalur komunikasi
data. Data dari sensor diolah oleh mikrokontroler dengan cara merata-ratakan, mengalikan dengan gradien (slope),
dan menambahkan dengan konstanta sesuai dengan nilai besaran sensor. Data yang sudah diolah dikirimkan
bersamaan dengan data waktu dari RTC setelah mikrokontroler menerima perintah permintaan data. Perintah lain
yang dibuat dalam sistem akuisisi data ini adalah perintah setting waktu, tipe kanal, kalibrasi, dan interval.
Kata kunci: akuisisi data, mikrokontroler, sensor, komunikasi data, kalibrasi

1. Pendahuluan
Pusat Penelitian Informatika LIPI mengembangkan
stasiun cuaca tanpa kabel (wireless weather station)
untuk mencatat dan menampilkan data cuaca dari
tempat-tempat tertentu di wilayah Indonesia. Salah satu
stasiun cuaca yang sedang diujicoba ditempatkan di
Lembang. Stasiun cuaca ini mencatat data suhu udara,
kelembaban, radiasi matahari, arah angin, kecepatan
angin, dan curah hujan. Stasiun cuaca ini menggunakan
saluran komunikasi radio untuk mentransmisikan dan
menerima data dari stasiun di Lembang dan server yang
terletak di kompleks LIPI Bandung. Transmisi data
dilakukan oleh single board computer (SBC) dan sistem
transmitter. Sebagai antar muka SBC dengan sensor,
diperlukan sistem akuisisi data yang mampu membaca
data dari sensor-sensor secara akurat dan real time.
Untuk memenuhi fungsi ini, penelitian ini bertujuan
untuk membuat sistem yang mampu menjalankan fungsi
akuisisi data dan berkomunikasi dengan SBC dengan
akurat dan real time, serta biaya pembuatan sistem yang
rendah dan berdaya rendah. Makalah ini membahas
desain, implementasi, dan ujicoba sistem akuisisi data
tersebut.

Sistem akuisisi data yang dibuat dalam penelitian ini


merupakan pengembangan dan modifikasi dari sistem
akuisisi data P2I LIPI sebelumnya, seperti yang dibuat
oleh Oka Mahendra dan Djohar Syamsi (P2I LIPI) [1],
yang bentuk fisiknya terlihat pada Gambar 1.
Modifikasi dari sistem sebelumnya berupa
penghilangan modul GSM dan GPRS karena tidak
diperlukan dalam sistem staisun cuaca P2I. Stasiun cuaca
P2I ini menggunakan komunikasi radio yang dibuat
sendiri dengan frekuensi 2,4 Ghz sehingga modul GSM
dan GPRS dalam mikrokontroler bisa ditiadakan.
Peniadaan modul GSM dan GPRS menghasilkan sistem
yang lebih stabil dan akurat dalam mengolah data dan
mengurangi kemungkinan sistem akan hang. Modul
yang dipertahankan dalam sistem ini adalah modul
kalibrasi, sehingga sistem data akuisisi bersifat universal,
dapat digunakan untuk sensor dengan jenis apapun
dengan memasukkan nilai gradien (slope) dan konstanta
yang sesuai dengan spesifikasi sensor.

| Seminar Nasional Embedded Systems, Bandung 20 September 2012

75

Gambar 1. Salah satu stasiun cuaca P2I LIPI

Sistem ini juga merupakan pengembangan dari


datalogger
cerdas
yang sudah dikembangkan
sebelumnya oleh Oka Mahendra (P2I LIPI) [2].
Pengembangan yang dilakukan dari sistem terdahulu
adalah penambahan modul perintah melalui komunikasi
serial, sehingga semua menu dan fungsi dapat diakses
langsung dari port serial. Port serial ini dapat diakses
dengan menggunakan program Hyperterminal di
Windows atau Minicom di Linux. Dengan modul
perintah melalui komunikasi serial, sistem data akuisisi
ini tidak membutuhkan program aplikasi yang berbasis
Windows, yang pada sistem sebelumnya dibuat dengan
Visual Basic. Penggunaan modul perintah melalui
komunikasi serial ini juga memungkinkan dibuat aplikasi
lain untuk berkomunikasi dengan sistem data akuisisi ini
dengan lebih fleksibel.
Penelitian
ini
menggunakan
mikrokontroler
ATMega128 untuk memudahkan pengembangan sistem
lebih lanjut dengan kapasitas memori yang memadai.
Penggunaan mikrokontroler jenis lain, yaitu ATMega16,
dilakukan oleh Rosiek[3], yang juga difungsikan untuk
membaca
data
delapan
sensor
meteorologi.
Mikrokontroler PIC18F88 digunakan oleh Fisher[4]
untuk membuat sistem akuisisi data suhu dan
kelembaban pada lahan pertanian.
Sistem yang bersifat modular dikembangkan oleh
Katrancioglu [5]. Dalam sistem yang dibahas dalam
makalah ini, selain bersifat modular (dapat
dikombinasikan dengan piranti lain), juga bersifat
universal, yaitu mampu menangani sensor dengan tipe
apapun yang bersifat linear.

2. Mikrokontroler ATMega128
Mikrokontroler adalah sebuah sistem microprosesor
dimana di dalamnya sudah terdapat CPU, ROM, RAM,
I/O, Clock dan Peralatan Internal lainnya yang sudah
saling terhubung dan terorganisasi (teralamati) dengan
baik oleh pihak pabrik pembuatnya dan dikemas dalam
satu chip yang siap pakai. Sehingga kita tinggal
memprogram isi ROM sesuai aturan penggunaan oleh
pabrik yang membuatnya [6].
76

Mikrokontroler ATMega128 termasuk keluarga Alf


and Vegards Risc Processor (AVR) yang mempunyai
kapasitas flas memori sebesar 128 KB dan berbasis
arsitektur Reduced Instruction Set Computer (RISC) [7].
Sedangkan fitur dari mikrokontroler ATMega128 adalah
sebagai berikut:
Mempunyai saluran I/O sebanyak 56 buah, yaitu Port
A, Port B, Port C, Port D, Port E, Port F dan Port G.
Mempunyai 8 saluran ADC 10 bit.
Mempunyai 2 buah Timer/Counter 8 bit dan 2 buah
Timer/Counter 16 bit.
Mempunyai 2 buah PWM 8 bit.
Adanya Watchdog Timer dengan osilator internal.
Internal SRAM sebesar 4 kbyte.
Memori flash sebesar 128 kBytes.
Interupsi Eksternal.
Port antarmuka SPI.
EEPROM sebesar 4 kbyte.
Real time counter.
Mempunyai 2 buah Port USART untuk komunikasi
serial.
Adapun konfigurasi pin dari Mikrokontroler
ATMega128 dapat dilihat pada Gambar 2.

Gambar 2. Konfigurasi Pin Mikrokontroler ATMega128


[7]

3. Desain Sistem Data Akuisisi Berbasis


Mikrokontroler ATMega128
Pembuatan sistem akusisi data ini dapat dibagi
menjadi beberapa tahapan, yaitu desain hardware dan
software, serta implementasi sistem data akuisisi. Pada
bagian desain ini dibahas mengenai spesifikasi hardware
yang dibuat, dan algoritma software yang ditanamkan
pada mikrokontroler.

3.1 Desain Hardware


Sistem akuisisi data ini menggunakan mikrokontroler
ATMEGA128
sebagai
pengendali
utama.
Mikrokontroler ini memiliki ADC internal 10 bit,
sejumlah 8 kanal, dan dapat membaca tegangan listrik 05V. Mikrokontroler membaca data dari rangkaian

| Seminar Nasional Embedded Systems, Bandung 20 September 2012

pengkondisi sinyal dan berkomunikasi dengan piranti


lain melalui port serial RS 232. Diagram blok sistem
dapat dilihat pada Gambar 3.
LCD karakter 20x4 baris

Mikrokontroler
ATMega128

MAX
232

SBC/PC/
Laptop/
Piranti lain

Pengkondisi Sinyal
Sensor 1

Sensor 2

Sensor 8

Gambar 3. Diagram blok sistem

Sistem power supply dibuat dengan LM350 untuk


men-supply mikrokontroler dan LM317 untuk
mensupply rangkaian pengkondisi sinyal. Input tegangan
yang diberikan kepada LM350 dan LM317 adalah 9-24
volt, tetapi sebaiknya diberikan input tegangan serendah
mungkin (9V) untuk menghindari daya yang terbuang,
karena regulator ini bersifat linear dan membuang daya
menjadi panas.
Sistem minimum mikrokontroler ATMega128
dibangun dengan tambahan max232 dan RTC DS1307.
Max232 berfungsi untuk mengkonversi tegangan TTL
menjadi RS-232 dan sebaliknya. RTC DS1307
menggunakan antar muka I2C (Philips) untuk membaca
data waktu yang berupa: jam, menit, detik, tanggal,
bulan, dan tahun. IC RTC ini mendukung data hari,
tetapi data ini tidak dipakai dalam sistem akuisisi data
ini.
Rangkaian pengkondisi sinyal dibuat sesederhana
mungkin untuk menghemat biaya dengan syarat akurasi
kurang dari 1%. Untuk memenuhi syarat ini dipilih opamp CA3140 sebagai pengali tegangan. Sensor 4-20mA
dihubungkan langsung dengan resistor 120 Ohm,
sehingga menghasilkan tegangan 0,48 2.4 Volt.
Kemudian tegangan yang terdapat dalam resistor ini
dikalikan dua menjadi 0,96 4,8 Volt untuk
memperbesar resolusi ADC. Rangkaian pengkondisi
sinyal dapat dilihat pada Gambar 4.

3.2. Desain software


Fungsi utama dari sistem data akuisisi ini adalah
membaca data dari sensor yang sinyalnya sudah diolah
oleh rangkaian pengkondisi sinyal. Mikrokontroler
menggunakan ADC internal untuk membaca data dari
rangkaian pengkondisi sinyal. Data ini dirata-ratakan,
dikalikan dengan gradien, dan ditambah dengan
konstanta untuk menghasilkan data yang diinginkan.
Proses rata-rata data digunakan untuk memperkecil
error. Selain membaca data, mikrokontroler juga harus
siap menerima interupsi dari port serial. Interupsi ini
berupa perintah untuk mengambil data, setting waktu,
setting gradien dan konstanta, serta setting interval.
Interval digunakan untuk data counter, yaitu menentukan
waktu dimana counter akan mereset nilai akumulasi
yang telah dihitung menjadi nol kembali. Algoritma
software dapat dilihat pada Gambar 5.
start

Baca RTC
Baca ADC

Proses data ADC:


rata-rata
perkalian gradien
penambahan konstanta
counter

Tampilkan data
sensor + RTC di LCD

Ada perintah
port serial?

Proses Perintah

Perintah
Permintaan Data
/ Setting

Kirim data
di Port Serial

Perintah
Setting gradien,
konstanta, dsb

Simpan setting di
EEPROM

Dimatikan?

stop

Gambar 5. Algoritma software pada mikrokontroler


ATMega128

4. Implementasi Sistem Akuisisi Data

Gambar 4. Rangkaian Pengkondisi Sinyal

Implementasi sistem akuisisi data meliputi perakitan


komponen dan uji coba sistem. Perakitan komponen
dilakukan pada PCB. Kompilasi dan download program
ke mikrokontroler dilakukan dengan menggunakan
CodeVisionAVR. Ujicoba sistem dilakukan di
laboratorium Pusat Penelitian Informatika LIPI dengan
merangkai masing-masing bagian sistem seperti terlihat
pada Gambar 6.

| Seminar Nasional Embedded Systems, Bandung 20 September 2012

77

Uji coba dilakukan dengan memasang sensor suhu


buatan Global Water yang menghasilkan output 4 s.d.
20mA untuk suhu -50 s.d. 50 derajat Celcius. Pada saat
ujicoba, dilakukan penentuan gradien (slope) dan
konstanta agar data sesuai dengan spesifikasi sensor.
Selanjutnya sistem data akuisisi dicoba dengan
menggunakan hyperterminal pada komputer berbasis
Windows XP. Pada saat makalah ini ditulis, sistem
masih diujicobakan di Lembang Bandung dengan
dipasang tujuh buah sensor, yaitu sensor suhu udara,
kecepatan angin, arah angin, kelembapan udara, tekanan
udara, radiasi matahari, dan curah hujan.

Dengan menggunakan data dua titik referensi, yaitu


batas bawah (x1, y1) dan batas atas (x2, y2) sensor,
gradien (m) dapat diperoleh dengan persamaan:
m = ( y2 y1 ) / (x2 x1)
Konstanta(c) dapat diperoleh dengan persamaan:
c = y1 m . x1
Untuk contoh sensor suhu tersebut di atas (suhu -5050 derajat Celcius direpresentasikan dengan arus 420mA). Dua buah titik digunakan untuk menentukan
nilai gradien dan konstanta. Dua buah titik ini adalah (4,50), dan (20,50). Dengan memasukkan ke persamaan di
atas, diperoleh nilai gradien (m) sebesar 6,25 dan
konstanta (c) sebesar -75.
Nilai gradien dan konstanta ini dimasukkan oleh
pengguna melalui port serial, dan dapat dimodifikasi
sesuai dengan sensor yang digunakan. Dengan demikian
sistem akuisisi data ini dapat menangani sensor apa saja
yang bersifat linear.

4.2 Perintah melalui komunikasi serial

Gambar 6. Uji coba sistem di laboratorium

4.1. Penentuan gradien (slope) dan konstanta


Perkalian dengan gradien dan penambahan dengan
konstanta diperlukan untuk menghasilkan nilai yang
sesuai dengan spesifikasi sensor. Misalnya untuk sensor
suhu udara, sensor ini menghasilkan arus 4-20mA untuk
merepresentasikan data -50 s.d 50 derajat Celcius.
Nilai 4-20mA ini dibaca dalam tegangan 0,48 2.4
Volt pada resistor 120 Ohm. Setelah dikalikan dua oleh
op-amp, nilai yang dibaca oleh mikrokontroler adalah
sebesar 0,96 4,8 Volt. Nilai ini dibaca oleh ADC
internal sebesar 10 bit, sehingga nilai dari register ADC
dalam mikrokontroler adalah sebesar 196 s.d. 983 yang
dihitung dari:
Batas bawah
Batas atas

= 0,96/5V * 1024
= 4,8/5V * 1024

= 196
= 983

Nilai register ADC ini diolah sedemikian rupa


dengan persamaan garis lurus, sehingga menghasilkan
nilai -50 s.d. 50 derajat Celcius. Karena nilai ADC ini
berasal dari sensor 4-20mA, maka persamaan garis lurus
pun dapat dibuat dengan mencari hubungan antara nilai
sebenarnya (data sensor yang tertampil) terhadap nilai 420mA, bukan lagi terhadap data ADC internal.
Persamaan garis lurus ini dapat dinyatakan dengan:
Y = mX + c
Dengan Y adalah data sensor yang tertampil (misalnya 50 s.d. 50 derajat Celcius), X adalah nilai output sensor
(misalnya 4-20mA), m adalah gradien, dan c adalah
konstanta.

78

Fungsi utama komunikasi serial digunakan untuk


memperoleh data, selain itu fungsi penting yang lain
adalah memasukkan nilai gradien dan konstanta untuk
menyesuaikan jenis sensor dan kalibrasi. Fungsi
tambahan lain adalah setting waktu, satuan, dan interval.
Contoh respon setelah sistem diberikan perintah HELP
melalui aplikasi Hyperterminal dapat dilihat pada
Gambar 7.

Gambar 7. Pemberian perintah melalui


hyperterminal
Perintah yang dibuat dalam sistem ini antara lain
adalah permintaan data, setting waktu, setting kanal,
setting kalibrasi, setting interval, dan informasi
konfigurasi. Perintah-perintah ini terlihat ketika user
mengirimkan karakter HELP+CRLF pada port serial.
Pada penelitian ini, perintah permintaan data
dilakukan oleh SBC secara otomatis dengan interval
waktu 10 detik. Perintah setting dapat dilakukan secara
jarak jauh dari LIPI Bandung ke stasiun cuaca di
Lembang dengan saluran komunikasi frekuensi 2,4GHz.

| Seminar Nasional Embedded Systems, Bandung 20 September 2012

5. Kesimpulan
Sistem akuisisi data dapat dibuat secara sederhana
dan dapat memberikan data realtime dengan
menggunakan mikrokontroler ATMega128. Sistem ini
sudah diujicoba dan berjalan dengan baik dan stabil
untuk mengambil data cuaca di stasiun cuaca P2I LIPI.
Perbaikan sistem dapat
dilakukan dengan
meningkatkan resolusi ADC, memilih tegangan referensi
yang lebih baik, dan memilih mikrokontroler dengan
memori yang lebih kecil untuk menghemat biaya.

Social and Behavioral Sciences Volume 2. 2010. pp


52665270
[6] Winoto, Ardi, Mikrokontroler AVR
ATMega8/32/16/8535 dan Pemogramannya dengan
Bahasa C pada WinAVR, Penerbit Informatika,
2010.
[7] ___________, Datasheet: Rev. 2467XAVR06/11
8-Bit Atmel Microcontroller with 128Kbytes InSystem Programmable Flash, Atmel, 2011.

4. Daftar Pertanyaan
6. Daftar pustaka
[1] Syamsi, Djohar, dan Oka Mahendra, Pemanfaatan
Layalan SMS untuk Pengiriman Data Pengukuran
Secara Paket, Prosiding Seminar Nasional Riset
Teknologi Informasi, Volume IV, 2009, halaman
187.
[2] Mahendra, Oka dan Djohar Syamsi, Datalogger
Cerdas dengan Kemampuan Timer, Trigger, dan
Kalibrasi, Prosiding Seminar Nasional Riset
Teknologi Informasi, Volume IV, 2009, halaman
87
[3] Rosiek, S, and F.J. Battless, A microcontrollerbased data-acquisition system for meteorological
station monitoring, Journal of Energy Conversion
and Management,
www.elsevier.com/locate/enconman, available
online at 18 July 2008.
[4] Fisher, K. and Hirut Kebede, A low-cost
microcontroller-based system to Monitor Crop
Temperature and Water Monitoring, Journal of
Computers and Electronics in Agriculture Volume
74, 2010, pp 168-173
[5] Katrancioglu, Sevan, Kenan Savas, and Hasan
Erdal, A Modular and Low-cost Data Acquisition
Card Design with Multitasking Support. Procedia

1.

2.

3.

Penanya: M. Iqbal (Universitas Sunan Muria


Kudus)
Pertanyaan: Apakah sensor buatan sendiri/ lokal
Jawaban: sensor masih impor.
Pertanyaan: Pemilihan arus 4-20 mA?
Jawaban: disesuaikan dengan kebutuhan sensor
yang sudah terpasang.
Penanya: Febriadi Santosa (Poltek Telkom)
Pertanyaan: Pada perhitungan gradien dalam
konstanta, nilai 6.25 diperoleh dari mana?
Jawaban: Gradien dan konstanta di sini yang
diinput oleh user. Angka 6.25 adalah scope fungsi
x dan y
m = (y2-y1)/(x2-x1) = 6.25
x1= 4, y1=-50
x2=20, y2=50
Penanya: Sahrul Arif (LIPI)
Pertanyaan: Mengapa pemilihan lokasi di
Lembang
Jawaban:
Keputusan
puslit
dengan
mempertimbangkan beberapa kemudahan.

| Seminar Nasional Embedded Systems, Bandung 20 September 2012

79

80

| Seminar Nasional Embedded Systems, Bandung 20 September 2012

Pembangkitan Kunci Rahasia dengan


Deffie-Hellman Key Exchange pada Jaringan Sensor Nirkabel Zigbee
Rifki Sadikin

Sahrul Arif

Pusat Penelitian Informatika


Lembaga Ilmu Pengetahuan Indonesia
rifki@informatika.lipi.go.id

Pusat Penelitian Informatika


Lembaga Ilmu Pengetahuan Indonesia
sahrul@informatika.lipi.go.id

Abstract
We present a design and an analysis for a link key generation with Deffie-Hellman key exchange in a wireless
sensor network based on Zigbee. Our design based on logarithmic discrete problem on polynomial finite field which
has low complexity in its arithmetic operations. We propose a key exchange protocol for Zigbee wireless sensor
network based on Deffie-Hellman key exchange protocol to establish AES symmetric key.We analyze the security of the
proposed protocol by giving a proof that our protocol can be simulated with a given security assumption. We also
propose how to enchanced our protocol to defend some security threats such as man in middle and replay attack. Based
on our simulation our protocol can assure the secrecy of link key which will be used to establish secure wireless sensor
network.
Keywords: key exchange protocol,, wireless sensor network, embedded system security

Abstrak
Tulisan ini mepresentasikan hasil disain dan analisis untuk pembentukan sesi aman dengan pertukaran kunci
pada jaringan sensor nirkabel berbasis Zigbee. Skema yang diusulkan berbasis persoalan logaritma diskrit pada
medan terbatas polinomial. Sebuah skema pembangkitan kunci simetri AES dengan menggunakan Deffie-Hellman key
exchange diusulkan. Keamanan skema yang diajukan dibuktikan dengan membuat simulasi berdasarkan asumsi
persoalan logaritma diskrit. Skema yang diajukan dapat ditingkatkan pada implementasinya untuk menangani
serangan man in the middle dan replay. Hasil analisis menunjukkan bahwa skema yang diusulkan memenuhi
permintaan keamanan dan dapat menjamin keamanan kunci link yang digunakan untuk membuat jaringan sensor
nirkabel yang aman.
Kata kunci: protokol key exchange, jaringan sensor nirkabel, keamanan sistem tertanam

1. Pendahuluan
Jaringan nirkabel sensor merupakan koneksi beberapa
sensor otonom terdistribusi yang memiliki topologi
tertentu untuk berkomunikasi data dengan menggunakan
gelombang radio. Tidak seperti jaringan nirkabel
komputer, jaringan nirkabel sensor terdiri dari beberapa
node yang memiliki sumber daya komputasi serta
memori yang sangat terbatas sehingga solusi untuk
komunikasi data dan keamanannya berbeda dengan
jaringan nirkabel computer, [1].
Meskipun memiliki daya komputasi yang terbatas
aspek keamanan data pada jaringan sensor nirkabel
merupakan hal yang penting karena jaringan nirkabel
selalu diasumsikan sebagai jaringan yang tidak aman.
Beberapa aspek keamanan yang harus ada pada jaringan
sensor nirkabel adalah: otentikasi, kerahasiaan dan
keutuhan, [2]. Otentikasi mencakup pemastian
keotentikan node yang ikut pada jaringan dan otentikasi
asal data. Kerahasiaan data adalah data tetap tidak
bermakna walaupun melintas pada jaringan tidak aman.
Sedangkan keutuhan data, memastikan bahwa data yang
terkirim oleh suatu node tidak berubah ketika sampai ke
node lain. Dengan adanya tiga aspek keamanan jaringan
tersebut dapat dikatakan sebuah jaringan sensor nirkabel
memiliki secure session (sesi aman).

Pada jaringan komputer nirkabel, sistem kriptografi


kunci publik dan sistem kriptografi kunci simetri
digunakan bersama-sama untuk mendapatkan otentikasi,
kerahasiaan dan keutuhan data. Namun karena sistem
kriptografi kunci publik meminta daya komputasi yang
besar jaringan sensor nirkabel sampai saat ini jarang
menggunakan sistem kriptografi kunci publik.
Standard IEEE 802.15.4 yang merupakan standard
jaringan sensor nirkabel Zigbee menggunakan sistem
kriptografi kunci simetri AES untuk mendapatkan
layanan keamanan jaringan sensor nirkabel, [3].
Persoalan yang muncul dengan hanya memakai system
kriptografi simetrik adalah bagaimana membagi kunci
rahasia. Pada sistem kriptografi AES kunci rahasia
harusnya dibagi melalui jaringan aman. Namun karena
jaringan nirkabel selalu diasumsikan sebagai jaringan
yang tidak aman pembagian kunci menjadi masalah.
Tulisan ini mengusulkan sebuah skema untuk
membangkitkan link key yaitu kunci rahasia yang
dipegang pada setiap node di jaringan sensor nirkabel
dengan menggunakan jaringan nirkabel yang tidak aman.
Pembangkitan kunci rahasia yang diusulkan berdasarkan
pada skema Deffie Hellman key exchange. Protokol
pembangkitan kunci rahasia yang diusulkan berbasis
pada persoalan logaritma diskrit pada finite field berbasis
polynomial berderajat 128 yang dinotasikan sebagai
GF ( 2128 )
.

| Seminar Nasional Embedded Systems, Bandung 20 September 2012

81

Penelitian tentang pembangkitan kunci rahasia


dengan Deffie-Hellman key exchange pada jaringan
sensor nirkabel telah dilakukan diantaranya Yue Li pada
[4] mempresentasikan cara verifikasi formal terhadap
key exchange namun tidak memberikan protokol key
exchange yang praktis. Khurija pada [5] dan Kumar et al
pada [6] memberikan implementasi Deffie-Hellman key
exchange untuk jaringan sensor nirkabel berdasarkan
grup kurva eliptik. Berbeda dengan [5] dan [6] protokol
key exchange yang diusulkan bersandarkan pada
GF ( 2128 ) yang secara teoritik memiliki biaya
komputasi yang lebih rendah.
Tulisan ini diorganisasikan sebagai berikut pada
bagian 2 akan dijelaskan tentang aspek keamanan pada
jaringan nirkabel sensor dengan protokol Zigbee dan
protokol key-exchange Deffie-Hellman, pada bagian 3
akan dijelaskan protokol key exchange yang diusulkan,
pada bagian 4 akan dibahas aspek keamanan dan
implementasi protokol key exchange dan tulilsan akan
ditutup pada bagian 5 dengan kesimpulan dan penelitian
lanjut.

2. Protokol Key Exchange Defie Hellman


untuk Pembangkitan Kunci Rahasia
Pada bagian ini akan dibahas penggunaan protokol
key exchange Deffie-Hellman untuk pembangkitan
kunci rahasia yaitu kunci link pada jaringan sensor
nirkabel Zigbee.

router dan end device, [7]. Gambar 1 merepresentasikan


topologi umum pada jaringan sensor nirkabel Zigbee.
Pada Gambar 1, jaringan sensor nirkabel Zigbee
setidaknya memiliki 1 koordinator. Koordinator dari
sudut padang keamanan jaringan berperan sebagai
trusted party (pihak terpercaya) sebagai penyimpan link
key (kunci jaringan untuk membangkitkan kunci sesi),
pembatas akses yaitu menentukan apakah sebuah end
device atau router bisa tergabung ke jaringan atau tidak.
Router yang bersifat pilihan merupakan node yang
mengorganisir bagian jaringan bisa menerbitkan link key
untuk bagian jaringan dan bisa menentukan apakah
sebuah node bisa bergabung dengan jaringan atau tidak
atas izin koordinator. Sedangkan end device merupakan
sensor yang biasanya tertanam pada peralatan tidak
memiliki kuasa untuk menambah node dan tidak
menentukan kebijakan manajemen kunci hanya sebagai
pemakai.
Standard IEEE menggunakan 802.15.4 yang dipakai
ZigBee meggunakan sistem penyandian simetri AES dan
menyimpan 3 jenis kunci yaitu: kunci master (yang
diinstal oleh pabrikan), kunci link (kunci yang digunakan
untuk membangkitkan kunci network), dan kunci
network (kunci yang digunakan ketika ada sesi aman).
Jika fitur keamanan pada Zigbee dihidupkan maka setiap
pengiriman data antar node disandi dan diberikan
Message Integrity Code (MIC) dengan menggunakan
kunci network dengan system sandi AES untuk
mendapatkan layanan kerahasiaan dan keutuhan data.

2.1. Keamanan Pada Jaringan Sensor Nirkabel


Zigbee
Jaringan sensor nirkabel Zigbee terdiri dari beberapa
node yang memiliki peran berbeda yaitu koordinator,

Gambar 1. Topologi Jaringan Sensor Nirkabel Zigbee

82

| Seminar Nasional Embedded Systems, Bandung 20 September 2012

Kunci link yang memegang peranan penting untuk


pembangkitan kunci network sebaiknya dibarukan secara
periodik. Pembaharuan kunci link bisa dilakukan on the
fly atau secara offline dengan perintah AT dengan
memakai algoritma pembangkit kunci. Skema yang
diusulkan merupakan pembangkitan kunci link yang
dilakukan secara on the fly.

2.2. Deffie-Hellman Key Exchange


Persoalan utama pada penyandian kunci simetris
adalah bagaimana mentransportasikan kunci rahasia
yang harus dimiliki kedua pihak (pengirim dan
penerima). Pada kasus jaringan sensor nirkabel Zigbee
adalah bagaimana antara 2 node saling berbagi link key.
Salah satu cara untuk membangkitkan kunci rahasia
adalah dengan menggunakan protokol Diffie-Hellman
key-exchange.
Protokol Diffie-Hellman key exchange menghasilkan
kunci rahasia yang disimpan oleh 2 pihak dengan
mengunakan komunikasi tidak aman. Protokol DiffieHellman key exchange bersandarkan pada asumsi
permasalahan keras (hard problem) logaritma diskrit
yang dijabarkan oleh Definisi 1 dan Asumsi 1 [8].

Definisi 1. Misal (G,) adalah grup siklik dengan


jumlah elemen p . Misal g G adalah generator
grup (G,) maka untuk semua elemen pada grup
h G dapat ditulis

g x dengan

x Z p . Maka,

permasalahan logaritma diskrit adalah mencari nilai


x diberikan (G,) , p , g dan h seperti yang
diekspresikan oleh Persamaan (1).

log g (h) x pada (G,)

(1)

Asumsi 1. Untuk semua algoritma polynomial time


diberikan D (G,), g , h g x , Tb
dengan
A
b {0,1} , T0 x dan T1 Z p (bilangan random pada

Z p ) yang memiliki keluaran terkaan b maka akan

memenuhi Persamaan (2) dengan adalah nilai


fungsi yang dapat diabaikan (negligible function)
dan Pr adalah fungsi probabilitas.
Adv AAsumsi1 Pr[ A( D, T0 )] Pr[ A( D, T1 )]

(2)

Protokol
Diffie-Hellman
key
exchange
bersandarkan pada Asumsi 1. Pada protokol DiffieHellman key exchange terdapat 2 partai yang ingin
membagi kunci rahasia dan sebuah saluran
komunikasi tidak aman. Gambar 2 menunjukkan
protokol Diffie-Hellman, [8].
Protokol Diffie-Hellman seperti yang ditunjukkan
oleh Gambar 2 dimulai oleh partai A yang akan memulai
key exchange dengan memilih grup (G,) , sebuah
pembangkit grup g dan sebuah bilangan integer a .
Partai A menghitung h g a pada grup (G,) dan
mengirim (G,), g , h ke partai B. Partai B ketika
mendapat kiriman dari A memilih sebuah integer b dan
menghitung h g b dan mengirim ke partai A h . Pada
langkah terakhir kedua partai menghitung kunci rahasia
K g ab .

Gambar 2. Protokol Key Exchange

| Seminar Nasional Embedded Systems, Bandung 20 September 2012

83

Skema 1. Protokol Pembangkitan Kunci Rahasia


Koordinator (P)

Router/End Device (Q)


0.

Acknowledge ke koordinator ingin gabung jaringan

Tunggu hingga 4.
1.

Setelah
mendapatkan
acknowledge,
Pilih
128
g GF( 2 ) , dengan syarat g adalah primitve root
dan

g p dengan

banyaknya elemen yang

dibankitkan g dan p adalah bilangan prima yang


memenuhi 2127 p 2128 .
2.

Pilih a Z p secara random.

3.

Hitung h g a pada GF( 2128 ) dengan algoritma


eksponesial cepat.
Kirim g , p, h ke Router/End Device

4.

4.

Mendapatkan g , p, h router/end device memilih

b Z p secara random
5.
6.

Hitung h g b pada GF( 2128 )


Kirim h pada koordinator

Tunggu hingga 7.
7.
8.
9.

Mendapatkan h dari router/end device


Hitung K (h ) a g ba
Hapus a

10. Hitung K (h) b g ab


11. Hapus b

Gambar 3 Protokol Pembangkitan Kunci Link pada Jaringan Sensor Nirkabel Zigbee

3. Skema Pembangkit Kunci Rahasia yang


Diusulkan

GF( 2128 ) yang memiliki panjang 128 bit yang


merupakan panjang kunci link yang merupakan kunci
AES.

3.1. Algoritma Key Exchange


Skema pembangkit kunci rahasia yang diusulkan
berbasis pada sebuah Galois grup polynomial GF( 2128 )
dengan irreducible polynomial x128 x 7 x 2 x 1 .
Pemilihan GF( 2128 ) karena sesuai dengan panjang
kunci AES yang digunakan pada jaringan sensor
nirkabel Zigbee. Sedangkan dengan memilih irreducible
polynomial x128 x 7 x 2 x 1 operasi perkalian dan
pemangkatan pada GF( 2128 ) menjadi cepat dan sudah
menjadi standard Galois Field pada kriptografi [9].
Gambar 3 merupakan protokol skema pembangkit kunci
yang diajukan.
Gambar 4 menunjukkan protokol pembangkitan kunci
link antara koordinator dengan sebuah node yang dapat
berperan sebagai router atau end device. Perhatikanlah
kunci rahasia yang dihitung oleh koordinator dan
router/end device bernilai sama yaitu K g ab pada

84

3.2. Implementasi Praktis


Protokol
pada
Gambar
4
dapat
diimplementasikan ketika akan membuat kunci link
pada sensor yaitu dengan memadukannya dengan
perintah AT pada modul Zigbee yang bisa dikirim
melalui komunikasi serial. Berikut ini Listing 1
merupakan AT Command pada koordinator.
1.
2.
3.
4.
5.
6.
7.
8.

Panggil Protokol pembangkit kunci


rahasia
Tunggu sampai mendapatkan kunci
rahasia K
+++
ATID 2235<CR>
ATNK 0<CR>
ATEE 1<CR>
ATKY K<CR>
ATWR <CR>

| Seminar Nasional Embedded Systems, Bandung 20 September 2012

Listing 1. Perintah AT pada koordinator

1.
2.
3.
4.
5.
6.
7.

Acknowledge
koordinator
untuk
bergabung dengan jaringan
Panggil Protokol pembangkit kunci
rahasia
Tunggu sampai mendapatkan kunci
rahasia K
+++
ATID 2235<CR>
ATKY K<CR>
ATWR <CR>
Listing 2. Perintah AT pada koordinator

Listing 1 dan Listing 2 menunjukkan urutan perintah


AT yang harus dilakukan setelah protokol pembangkit
kunci menghasilkan kunci rahasia K . Perintah +++
merupakan tanda dimulainya perintah AT. Perintah
ATID 2235 adalah menetapkan PAN-ID atau identitas
jaringan yaitu 2235 (bisa yang lain).
ATNK 0
menyatakan network key dibangkitkan secara dinamis
berdasarkan link key. ATEE 1 menyatakan bahwa
layanan keamanan dihidupkan sedangkan ATKY K
menetapkan kunci link sebagai K. Sedangkan ATWR
merupakan perintah AT untuk menulis parameter link
key pada volatile memory.

4. Aspek Keamanan Skema yang Diajukan


Bagian ini membahas bukti keamanan skema key
exchange yang diajukan. Ada 2 pembuktian yang akan
dipresentasikan: bukti keamanan protokol yang diajukan
dengan simulasi dan pembahasan beberapa kemungkinan
attack terhadap skema protokol dan bagaimana membuat
penanganannya.

exchange,
adalah
kunci
yang
K
terkompromi dan R G merupakan random
dari G .
Adv ASK sec ure Pr[ A( M , K )] Pr[ A( M , R)]

Theorema 1. Protokol yang diajukan adalah aman untuk


semua polynomial time attacker berdasarkan Asumsi 1.
Bukti.
Jika terdapat algoritma
A dengan
SK sec ure
Adv A
(Persamaan (3)) maka dapat dibangun
algoritma B Protokol 1 yand memiliki Adv BAsumsi1
. Simulasi berjalan sebagai berikut:
1.

2.

Simulasi reveal. Pada awalnya algoritma B


meminta partai P dan Q menjalankan Skema 1
dan menjalankan sampai selesai kemudian
meminta kedua partai untuk menbuka kunci,
berdasarkan Skema 1 nilai K pada partai P dan
nilai pada partai Q adalah bernilai sama yaitu
g ab GF (2128 ) .
Simulasi corrupt.
a. Algoritma B meminta partai P dan partai Q
menjalankan Skema 1.
b. Algoritma B membaca semua komunikasi data
antara P dan Q yaitu g , p, h, h atau bisa ditulis
c.
d.
e.

4.1. Bukti Keamanan


Sebelum dipresentasikan bukti keamanan Skema 1
pada Gambar 4. Definisi keamanan untuk skema
key exchange telah diberikan pada [10] yang itu
yang diberikan oleh Definisi 2.

f.

Definisi 2 , [10]. Sebuah protokol key-exchange


disebut SK-secure jika hal berikut dipenuhi:
1.
Jika terdapat 2 partai yang tidak terkorupsi
maka kedua partai mendapatkan kunci
rahasia yang sama yaitu K .
2.
Misalnya sebuah kunci rahasia telah ada
yaitu K maka jika ada attacker yang
menkorupsi (sehingga bisa membaca isi
memory sebuah partai) K maka attacker A
tidak bisa membedakan K dan bilangan
random dengan probabilitas 12 dengan

h.

adalah nilai fungsi yang dapat diabaikan


seperti yang diilustrasikan oleh persamaan
(3) dengan M adalah semua data yang
melintas pada jaringan tak aman selama key

(3)

g.

sebagai g , p, g a , g b .
Algoritma B mengkorupsi P dan mendapatkan
GF( 2128 ) dan K g ab GF(2128 ) .
Algoritma B memilih R GF( 2128 ) secara
acak.
B
Algoritma
memilih bilangan biner
b {0,1} .
Algoritma
menetapkan
B
M GF(2128 ), g , p, g a , g b dan T K jika
b 0 lainnya T R .
Algoritma B memanggil algoritma A yaitu
A( M , T ) .
Algoritma B
menggunakan keluaran
A( M , T ) untuk mengalahkan Asumsi 1 yaitu

persamaan (4), (5) dan (6) pada GF( 2128 ) .


log g ( g a )

(4)

log g ( g b )

(5)

log g ( g ab )

(g)

Analisis simulasi karena B menggunakan keluaran


sec ure

algorima A dan A memiliki Adv SK


A
sedangkan B dapat membuat persoalan logaritma
diskrit seperti pada persamaan (4), (5) dan (6) maka B

| Seminar Nasional Embedded Systems, Bandung 20 September 2012

85

memiliki Adv BAsumsi1 , sehingga Teorema 1 terbukti


.

4.2. Beberapa Kemungkinan Serangan


Bukti keamanan Skema 1 yang diberikan oleh bagian
4.1 merupakan bukti keamanan yang bersandarkan pada
asumsi bahwa persoalan logaritma disktrit adalah sulit.
Namun beberapa penyerangan yang mungkin dilakukan
adalah:
1. Penyerangan Man In The Middle
Pernyerangan Man In The Middle terjadi bila antara
partai P dan partai Q terdapat attacker yang mampu
meniru partai Q sehingga partai P tidak sadar bahwa dia
tidak berkomunikasi dengan partai Q namun dengan
attacker.
Namun pada jaringan sensor nirkabel adalah sulit
buat attacker menghalangi komunikasi antara 2 partai
sehingga hal ini bisa diatasi dengan ACL (Access
Control List) yang ada pada koordinator (partai P)
sehingga tidak ada attacker yang bisa berpura-pura
sebagai partai Q dengan asumsi ID Q tidak bisa ditiru.
2. Penyerangan Replay
Penyerangan replay terjadi bila komunikasi yang
sukses diulangi lagi oleh attacker. Hal ini bisa dihalangi
dengan setiap sesi komunikasi jaringan menggunakan
token yang berbeda yang diatur oleh koordinator.

5. Kesimpulan dan Saran


Skema 1 yang merupakan protokol key exchange
yang diajukan dapat membangkitkan kunci link pada
jaringan
sensor
nirkabel
Zigbee
meskipun
berkomunikasi melalui jaringan yang tidak aman.
Keamanan skema 1 telah dibuktikan dengan
menggunakan
simulasi
SK-secure
dengan
mengasumsikan persoalan logaritma diskrit adalah
persoalan yang sulit (hard problem).
Studi lanjut untuk penelitian ini adalah pembuatan
key exchange yang tidak peer-to-peer yaitu hanya 2
partai namun yang bersifat grup sehingga pada
terapannya jaringan sensor nirkabel Zigbee dapat
memiliki koordinator dan beberapa router. Selain itu
pembuktian protokol key exchange juga perlu
ditingkatkan dengan definisi keamanan key exchange
yang lebih ketat untuk mengatisipasi beberapa
penyerangan seperti penjaminan forward secrecy.

[3] IEEE, IEEE Standard for Local and metropolitan


area networks--Part 15.4: Low-Rate Wireless
Personal Area Networks (LR-WPANs), IEEE
Standard no 802.15.4-2011, 2011
[4] Yue Li, Key exchange protocol for wireless
sensor network: Formal verification using CSN
modal logic, Proceeding of Sensors Application
Symposium 2008, pp 193-198, 2008.
[5] Khurija S, Implementation of Deffie-Hellman key
exchange on wireless sensor using elliptic curve
cryptography, Proceeding of Wireles VITAE 2009,
Copenhagen, 2009.
[6] Kumar V, Yunjung P, Dungki Min and Eumin C,
Secure-EEER: dynamic key exchange protocol
based on Deffie-Hellman algorithm with NOVSF
code-hopping technique for wireless sensor
network, Proceeding of Inovative Computing &
Communication 2010, pp 102-105, 2010.
[7] Digi International Inc, XBee/XBee-Pro Smart
Enery RF Modules, XBEE Manual no.
9003931_B, 2010.
[8] Stinson, Douglas Robert, Cryptography: Theory
and Practice (3rd ed.), London, 2008.
[9] McGrew DA and Viega John, The Galois/Counter
Mode of Operation (GCM),
[Web page],
http://csrc.nist.gov/groups/ST/toolkit/BCM/docume
nts/proposedmodes/gcm/gcm-revised-spec.pdf
(akses terakhir 10 September 2010)
[10] Yiu S, Boyd C dan Nieto J, Provable Secure
Key Exchange: An Engineering Approach,
Proceedings of Australian Information Security
Workshop 2003, Adaleide Australia, 2003.

7. Daftar Pertanyaan
1. Penanya: Agus Subekti (LIPI)
Pertanyaan: Apa perbedaan keamanan
Zigbee dan Wifi?
Jawaban: Aplikasi Zigbee untuk jaringan
sensor yang memiliki daya komputasi
rendah dan memori yang sedikit sedangkan
wifi untuk jaringan komputer yang
memiliki sumber daya komputasi yang
besar oleh karena itu keamanan pada
Zigbee harus memenuhi kekangan jaringan
sensor nirkabel yaitu permintaan biaya
komputasi rendah
dan memori yang
seditkit

6. Daftar Pustaka
[1] Dargie, W. dan Poellabauer, C., Fundamentals of
wireless sensor networks: theory and practice,
John Wiley and Sons, 2010.
[2] A Perrog, J Stankovic dan D Wagner, Security in
wireless sensor networks, Communications of
ACM, 2004.

86

| Seminar Nasional Embedded Systems, Bandung 20 September 2012

Pusat Penelitian Informatika


Lembaga Ilmu Pengetahuan Indonesia

88

Jl. Cisitu (Komplek LIPI) No. 21/154D, Telp. 022.2504711, 2504371 Fax. 022.2504712
Bandung 40135
website: www.informatika.lipi.go.id
email: info@informatika.lipi.go.id
| Seminar Nasional Embedded Systems, Bandung 20 September 2012

Anda mungkin juga menyukai