Anda di halaman 1dari 24

LAPORAN RESMI PRAKTIKUM

TEKNOLOGI SENSOR
PERCOBAAN 1

RANGKAIAN ANALOG TO DIGITAL CONVERTER


(ADC)

Oleh :
Muhamad Sulton Endi Velani
3110191018
Teknik Mekatronika 3A

DEPARTEMEN MEKANIKA DAN ENERGI


POLITEKNIK ELEKTRONIKA NEGERI SURABAYA
TAHUN AJARAN 2021/2022
PRAKTIKUM 1
RANGKAIAN ANALOG TO DIGITAL

I. TUJUAN
a. Mahasiswa dapat mengetahui fungsi rangkaian Analog to Digital Converter
b. Mahasiswa dapat mengetahui prinsip kerja rangkaian Analog to Digital Converter
c. Mahasiswa dapat mengetahui karakteristik Analog to Digital Converter
d. Mahasiswa dapat memahami cara menggunakan ADC pada mikrokontroler
e. Mahasiswa dapat memahami cara menampilkan data ADC pada mikrokontroler

II. PENDAHULUAN
Analog to Digital Converter (ADC) adalah sebuah perangkat elektronika yang
berfungsi untuk mengubah sinyal analog (kontinyu) menjadi sinyal digital (diskrit).
ADC dapat berbentuk suatu modul, rangkaian elektronika maupun suatu chip IC,
atau pheriperal yang tertanam dalam sebuah mikrokontroler. ADC berfungsi untuk
menjembatani pemrosesan sinyal analog oleh sistem digital.

Karakteristik ADC
1. Kecepatan Sampling

Kecepatan sampling suatu ADC menyatakan seberapa sering sinyal analog


dikonversikan ke bentuk sinyal digital pada selang waktu tertentu.
Kecepatan sampling biasanya dinyatakan dalam sample per second (SPS).

2. Resolusi Data

Resolusi ADC menentukan ketelitian nilai hasil konversi ADC. Hal ini
menunjukkan seberapa besar data tersebut dicacah dalam jangkah (range)
yang diberikan. Sebagai contoh: ADC 8 bit akan memiliki output 8 bit data
digital, ini berarti sinyal input dapat dinyatakan dalam 255 (2n – 1) nilai
diskrit. ADC 12 bit memiliki 12 bit output data digital, ini berarti sinyal input
dapat dinyatakan dalam 4096 nilai diskrit. Dari contoh diatas ADC 12 bit
akan memberikan ketelitian nilai hasil konversi yang jauh lebih baik daripada
ADC 8 bit.

III. PRINSIP KERJA


Prinsip kerja ADC dalam mengkonversi sinyal analog ke dalam data digital
yang besarnya merupakan rasio perbandingan sinyal input dan tegangan referensi
yang digunakan oleh ADC. Sebagai contoh, bila tegangan referensi 5 volt, tegangan
input 2 volt, rasio input terhadap referensi adalah 40%. Jadi, jika menggunakan ADC
8 bit dengan skala maksimum 255, akan didapatkan sinyal digital sebesar =

40% x 255 = 10210 = 011001102.

signal = (sample/max_value) * reference_voltage

= (102/255) * 5

= 2 Volts

1. ADC Simultan (Flash)

ADC Simultan atau biasa disebut flash converter atau parallel converter.
Input analog Vin yang akan diubah ke bentuk digital diberikan secara

simultan pada sisi + pada komparator tersebut, dan input pada sisi –
tergantung pada ukuran bit converter. Ketika V in melebihi tegangan input –

dari suatu komparator, maka output komparator adalah high, sebaliknya


akan memberikan output low.
Bila Vref diset pada nilai 5 Volt, maka dari gambar di atas didapatkan :
V(-) untuk C7 = Vref * (13/14) = 4,64
V(-) untuk C6 = Vref * (11/14) = 3,93
V(-) untuk C5 = Vref * (9/14) = 3,21
V(-) untuk C4 = Vref * (7/14) = 2,5
V(-) untuk C3 = Vref * (5/14) = 1,78
V(-) untuk C2 = Vref * (3/14) = 1,07

V(-) untuk C1 = Vref * (1/14) = 0,36

Misal :
Vin diberi sinyal analog 3 Volt, maka output dari C7=0, C6=0, C5=0, C4=1,
C3=1, C2=1, C1=1, sehingga didapatkan output ADC yaitu 100 2

2. Counter Ramp ADC

Ketika sinyal input Vin lebih rendah dari keluaran DAC, maka comparator
akan mengeluarkan logika 1 yang membuat sinyal clock dari clock generator
masuk kedalam rangkaian counter. Pada saat yang sama keluaran dari
rangkaian counter masuk ke DAC unntuk diubah menjadi tegangan analog
yang mereprensentasikan keluaran data counter saat itu. Selama tegangan
dari DAC lebih rendah dari tengangan input Vin, maka counter akan terus
menghitung. Ketika tegangan Vin sudah sama/sedikit lebih rendah dengan
tegangan dari DAC, maka kondisi luaran komparator akan berubah dan akan
menghentikan sinyal clock dan output dari counter akan dicapture oleh
rangkaian latch (D Flip-Flop) dan data hasil konversi akan keluar pada bagian
output. Misal akan dikonversi tegangan analog 2 volt, dengan
mengasumsikan counter reset, sehingga keluaran pada DAC juga 0 volt.
Apabila konversi dimulai maka counter akan naik dari 0000 ke 0001 karena
mendapatkan pulsa masuk dari Clock oscillator dimana saat itu keluaran
Comparator = 1, karena mendapatkan kombinasi biner dari counter 0001
maka tegangan keluaran DAC naik dan dibandingkan lagi dengan tegangan
masukan demikian seterusnya nilai counter naik dan keluaran tegangan DAC
juga naik hingga suatu saat tegangan masukan dan tegangan keluaran DAC
sama yang mengakibatkan keluaran komparator = 0 dan Clock tidak dapat
masuk. Nilai counter saat itulah yang merupakan hasil konversi dari analog
yang dimasukkan. Kelemahan dari counter tersebut adalah lama, karena
harus melakukan trace mulai dari 0000 hingga mencapai tegangan yang
sama sehingga butuh waktu.

3.

Successive Approximation Register


Pada gambar diatas ditunjukkan diagram ADC jenis SAR, Yaitu dengan
memakai konvigurasi yang hampir sama dengan counter ramp tetapi dalam
melakukan trace dengan cara tracking dengan mengeluarkan kombinasi bit
MSB = 1 ====> 1000 0000. Apabila belum sama (kurang dari tegangan
analog input maka bit MSB berikutnya = 1 ===>1100 0000) dan apabila
tegangan analog inputternyata lebih kecil dari tegangan yang dihasilkan DAC
maka langkah berikutnya menurunkan kombinasi bit ====> 10100000.
Untuk mempermudah pengertian dari metode ini diberikan contoh seperti
pada timing diagram gambar 6 Misal diberi tegangan analog input sebesar
6,84 volt dan tegangan referensi ADC 10 volt sehingga apabila keluaran
tegangan sbb :
Jika D7 = 1, Vout=5 volt

Jika D6 = 1, Vout =2,5 volt


Jika D5 = 1, Vout =1,25 volt

Jika D4 = 1, Vout =0,625 volt


Jika D3 = 1, Vout =0,3125 volt

Jika D2 = 1, Vout =0,1625 volt


Jika D1 = 1, Vout =0,078125 volt
Jika D0 = 1, Vout =0,0390625 volt
Timing diagram urutan Trace SAR ADCTiming diagram urutan Trace SAR ADC
Setelah diberikan sinyal start maka konversi dimulai dengan memberikan
kombinasi 1000 0000 ternyata menghasilakan tegangan 5 volt dimana masih
kurang dari tegangan input 6,84 volt, kombinasi berubah menjadi 1100 0000
sehingga Vout = 7,5 volt dan ternyata lebih besar dari 6,84 sehingga
kombinasi menjadi 1010 0000 tegangan Vout = 6,25 volt kombinasi naik lagi
1011 0000 demikian seterusnya hingga mencapai tegangan 6,8359 volt dan
membutuhkan hanya 8 clock. Uraian diatas merupakan konsep dasar dari
ADC (Analog to Digital Converter), untuk pengembangan atau aplikasi ADC
dan ADC dalam bentuk lain akan ditulis dalam artikel berbeda dengan tujuan
dapat memberikan penjelasan yang lebih lengkap dari ADC (Analog to Digital
Converter)

IV. MODUL PRAKTIKUM

Analo cv
g Digital Output (8x
Out LED)
ISP
I/O CONNECTO
R
PORT

LCD
16x2
Modul Praktikum yang digunakan menggunakan Chip mikrokontroler AT
Mega 16 atau AT Mega 8535. Modul ini dilengkapi dengan Digital dan Analog I/O
serta LCD text.

a. Digital input disimulasikan dengan denggunakan Dipswitch yang terhubung ke


PORT C dengan mode active low

b. Analog input terhubung ke ADC dan juga tersambung ke 8 buah VR yang


dirangkai sebagai rangkaian pembagi tegangan dengan tegangan sumber 5 V

c. Digital Output disambungkan pada LD yang terhubung pada PORT B dengan


sifat active high

d. Analog Output terhubung ke OC1A dan OC1B yaitu PWM yang dikeluarkan ke
timer/counter 1. Dari PWM tersebut akhirnya dimasukkan ke rangkaian LPF
untuk mengubah sinyal PWM ke tegangan analog

e. Modul ini juga dilengkapi dengan LCD yang terhubung ke PORT B

Modul ini diprogram dengan menggunakan computer melalui port ISP.

V. PERALATAN
1. Modul Mikrokontroller Simulasi
2. Komputer dengan IDE (Code Vision AVR)
VI. PROSEDUR PERCOBAAN
1. Konversi Kanal Tunggal
a) Buat di proteus tangkaian seperti berikut ini. Pada rangkaian ini
menggunakan atmega 16. PORTB sebagai output 8 bit ke masing masing LED
kemunian input berupa potensiometer dikoneksikan ke ADC
16%
b) Dalam praktikum ini akan ditampilkan data hasil konversi ADC kanal 0 pada LED
dengan langkah pertama membuka program codeVision AVR pada PC.

c) Buat project baru dan gunakann Code WizardAVR


d) Set Chip menjadi ATMega 8535 atau ATMega 16 (sesuai yang ada pada
board)

e) Set clock pada 11.059200 MHz


f) Selanjutnya pilih PORT, lalu pilih PORT B, dan set semua konsisinya sebagai
output

g) Selanjutnya masuk ke tab ADC dana tur konfigurasinya sebagai berikut.


h) Selanjutnya pilih menu Program  Generate, Save
and Exit.

i) Simpan file dengan nama tertentu (letakkan foldernya sesuai dengan


keinginan). File yang dismpan ada 3 jennis, yaitu: Source (*.c), Project
(*.prj), dan codeWizzardProject (*.cwp)

j) Tampilkan data konversi pada dari kanal 0 ke LED dengan menambahkan


program pada source

k) Build Project dengan menekan tombol Ctrl+F9

l) Lalu koneksikan ISP Programmer dari USB computer ke Modul


mikrokontroller
m) Buka Program Khazama AVR Programmer pada PC
n) Buka chip pada desain prroteus anda akan muncul seperti ini

o) Silahkan lakukan setting pada program file arahkan ke file .hex dari program
anda yang telah buat
p) Kemudian click OK dan running simulasi anda
q) Ubah dan catat data ADC untuk posisi VR paling kiri (0%), tengah (50%) dan
paling kanal(100%)
2. Konversi Multi Tunggal
a) Dalam percobaan ini akan dilakukan konversi 8 kanal ADC dan ditampilkan
pada LCD. Terlebih dahulu buatlah simulasinya menggunakan proteus.

R
1
R R RV8 RV7 RV6
U V1 V2 RV5 RV4 RV3
1

VDD
VSS

VEE

RW
RS

D0
D1
D2
D3
D4
D5
D6
D7
E

13
14
10
11
12
1
2
3

4
5
6

7
8
9
16%

Note: LCD pada PORTB


Potensio 8 buah terhubing dimasing masing kanal ADC Pin.
b) Buka Program codeVision AVR pada OC

c) Buat project baru dan gunakann Code WizardAVR


d) Set Chip menjadi ATMega 8535 atau AT Mega 16 (sesuai yang ada pada
board)

e) Set clock pada 11.059200 MHz


f) Selanjutnya pilih PORT, lalu pilih PORT B, dengan konfigurasi sebagai berikut

g) Selanjutnya masuk ke tab ADC dana tur konfigurasinya sebagai berikut.

h) Selanjutnya pilih menu Program  Generate, Save


and Exit.

i) Simpan file dengan nama tertentu (letakkan foldernya sesuai dengan


keinginan). File yang dismpan ada 3 jennis, yaitu: Source (*.c), Project (*.prj),
dan codeWizzardProject (*.cwp)

j) Silahkan dibuat fungsi-fungsi menampilkan data LCD dengan menggunakan


file header seperti dibawah ini. File --> new --> source file (CTRL+N)
Salinlah Program berikut :

/* adytdarma created
*/
#ifndef _myLCD_
#define _myLCD_ void tampil3(int xxx)
{
lcd_putchar((xxx/100)+48);
lcd_putchar(((xxx/10)%10)+48);
lcd_putchar(((xxx/1)%10)+48);
}

void tampil4(int xxx)


{
lcd_putchar((xxx/1000)+48);
lcd_putchar(((xxx/100)%10)+48);
lcd_putchar(((xxx/10)%10)+48);
lcd_putchar(((xxx/1)%10)+48);
}

#pragma used-
#endif

k) Selanjutnya simpan file ini ke folder sorce Anda dan beri namamyLCD.h

l) Kembali ke file file yang lama dan tambahkan file LCD.h tadi ke project ADC
m) Tampilankan data konversi pada dari kanal 0 sampai dengan 7 ke LCD pada

loop while(1)
n) Build Project dengan menekan tombol Ctrl+F9

o) Buka file hex hasil build dari program (arahkan pada folder dimana Anda
menyimpan file dari CodeVisionAVR)
p) Lakukan configure file .hex pada rangkaian simulasi anda.
q) Tun simulasi anda. maka LCD akan menampilkan nilai biner dari hasil
konversi ADC kanal 0 sampai dengan 7 dengan dalam bentuk desimal nilai
dari 000 sampai dengan 255.
r) Ubah dan catat data ADC untuk posisi VR paling kiri, tengah dan paling
kanan dari masing- masing VR
Tugas
1. Dari praktikum A, ubah resolusi konversi yang digunakan dari 8 bit ke 10 bit, dan apa
yang terjadi dengan tampilan LED dan perubahan posisi VR.Untuk mengubah resolusi
ADC, uncheck menu Use 8 bit dari c

2. Tampilkan data konversi tersebut (tugas 1) ke LCD (data ADC adalah 10 bit pada range 0
s/d 1023)

3. Buat program komparator sederhana, modifikasi program 2 supaya tampilan LED


menyala semua ketika data ADC > 400 dan sebaliknya LED padam semua saat data ADC
<= 400
Jawaban

1. Ketika resolusi konversi dirubah ke 10 bit, yang terjadi LED menyala tetap menampilkan
8 bit karena PORTB hanya dapat menampilkan 8 bit terkecil, sedangkan 2 bit yang lain
tidak bisa ditampilkan. Pada gambar dibawah ini VR di set pada 50%

2. Tampilan data konversi pada LCD

3. List Program :
/*******************************************************
This program was created by the
CodeWizardAVR V3.12 Advanced
Automatic Program Generator
© Copyright 1998-2014 Pavel Haiduc, HP InfoTech s.r.l.
http://www.hpinfotech.com

Project : ADC Konversi Kanal Tunggal


Version :
Date : 11/4/2021
Author : Sulton
Company : PENS
Comments:

Chip type : ATmega16


Program type : Application
AVR Core Clock frequency: 11.059200 MHz
Memory model : Small
External RAM size :0
Data Stack size : 256
*******************************************************/
#include <mega16.h>
#include <delay.h>

// Declare your global variables here


// Voltage Reference: AREF pin
#define ADC_VREF_TYPE ((0<<REFS1) | (0<<REFS0) | (1<<ADLAR))

// Read the 8 most significant bits


// of the AD conversion result
unsigned char read_adc(unsigned char adc_input)
{
ADMUX=adc_input | ADC_VREF_TYPE;
// Delay needed for the stabilization of the ADC input voltage
delay_us(10);
// Start the AD conversion
ADCSRA|=(1<<ADSC);
// Wait for the AD conversion to complete
while ((ADCSRA & (1<<ADIF))==0);
ADCSRA|=(1<<ADIF);
return ADCH;
}

void main(void)
{
// Declare your local variables here

// Input/Output Ports initialization


// Port A initialization
// Function: Bit7=In Bit6=In Bit5=In Bit4=In Bit3=In Bit2=In Bit1=In Bit0=In
DDRA=(0<<DDA7) | (0<<DDA6) | (0<<DDA5) | (0<<DDA4) | (0<<DDA3) | (0<<DDA2) | (0<<DDA1) | (0<<DDA0);
// State: Bit7=T Bit6=T Bit5=T Bit4=T Bit3=T Bit2=T Bit1=T Bit0=T
PORTA=(0<<PORTA7) | (0<<PORTA6) | (0<<PORTA5) | (0<<PORTA4) | (0<<PORTA3) | (0<<PORTA2) |
(0<<PORTA1) | (0<<PORTA0);
// Port B initialization
// Function: Bit7=Out Bit6=Out Bit5=Out Bit4=Out Bit3=Out Bit2=Out Bit1=Out Bit0=Out
DDRB=(1<<DDB7) | (1<<DDB6) | (1<<DDB5) | (1<<DDB4) | (1<<DDB3) | (1<<DDB2) | (1<<DDB1) | (1<<DDB0);
// State: Bit7=0 Bit6=0 Bit5=0 Bit4=0 Bit3=0 Bit2=0 Bit1=0 Bit0=0
PORTB=(0<<PORTB7) | (0<<PORTB6) | (0<<PORTB5) | (0<<PORTB4) | (0<<PORTB3) | (0<<PORTB2) |
(0<<PORTB1) | (0<<PORTB0);

// Port C initialization
// Function: Bit7=In Bit6=In Bit5=In Bit4=In Bit3=In Bit2=In Bit1=In Bit0=In
DDRC=(0<<DDC7) | (0<<DDC6) | (0<<DDC5) | (0<<DDC4) | (0<<DDC3) | (0<<DDC2) | (0<<DDC1) | (0<<DDC0);
// State: Bit7=T Bit6=T Bit5=T Bit4=T Bit3=T Bit2=T Bit1=T Bit0=T
PORTC=(0<<PORTC7) | (0<<PORTC6) | (0<<PORTC5) | (0<<PORTC4) | (0<<PORTC3) | (0<<PORTC2) |
(0<<PORTC1) | (0<<PORTC0);

// Port D initialization
// Function: Bit7=In Bit6=In Bit5=In Bit4=In Bit3=In Bit2=In Bit1=In Bit0=In
DDRD=(0<<DDD7) | (0<<DDD6) | (0<<DDD5) | (0<<DDD4) | (0<<DDD3) | (0<<DDD2) | (0<<DDD1) | (0<<DDD0);
// State: Bit7=T Bit6=T Bit5=T Bit4=T Bit3=T Bit2=T Bit1=T Bit0=T
PORTD=(0<<PORTD7) | (0<<PORTD6) | (0<<PORTD5) | (0<<PORTD4) | (0<<PORTD3) | (0<<PORTD2) |
(0<<PORTD1) | (0<<PORTD0);

// Timer/Counter 0 initialization
// Clock source: System Clock
// Clock value: Timer 0 Stopped
// Mode: Normal top=0xFF
// OC0 output: Disconnected
TCCR0=(0<<WGM00) | (0<<COM01) | (0<<COM00) | (0<<WGM01) | (0<<CS02) | (0<<CS01) | (0<<CS00);
TCNT0=0x00;
OCR0=0x00;

// Timer/Counter 1 initialization
// Clock source: System Clock
// Clock value: Timer1 Stopped
// Mode: Normal top=0xFFFF
// OC1A output: Disconnected
// OC1B output: Disconnected
// Noise Canceler: Off
// Input Capture on Falling Edge
// Timer1 Overflow Interrupt: Off
// Input Capture Interrupt: Off
// Compare A Match Interrupt: Off
// Compare B Match Interrupt: Off
TCCR1A=(0<<COM1A1) | (0<<COM1A0) | (0<<COM1B1) | (0<<COM1B0) | (0<<WGM11) | (0<<WGM10);
TCCR1B=(0<<ICNC1) | (0<<ICES1) | (0<<WGM13) | (0<<WGM12) | (0<<CS12) | (0<<CS11) | (0<<CS10);
TCNT1H=0x00;
TCNT1L=0x00;
ICR1H=0x00;
ICR1L=0x00;
OCR1AH=0x00;
OCR1AL=0x00;
OCR1BH=0x00;
OCR1BL=0x00;

// Timer/Counter 2 initialization
// Clock source: System Clock
// Clock value: Timer2 Stopped
// Mode: Normal top=0xFF
// OC2 output: Disconnected
ASSR=0<<AS2;
TCCR2=(0<<PWM2) | (0<<COM21) | (0<<COM20) | (0<<CTC2) | (0<<CS22) | (0<<CS21) | (0<<CS20);
TCNT2=0x00;
OCR2=0x00;

// Timer(s)/Counter(s) Interrupt(s) initialization


TIMSK=(0<<OCIE2) | (0<<TOIE2) | (0<<TICIE1) | (0<<OCIE1A) | (0<<OCIE1B) | (0<<TOIE1) | (0<<OCIE0) |
(0<<TOIE0);

// External Interrupt(s) initialization


// INT0: Off
// INT1: Off
// INT2: Off
MCUCR=(0<<ISC11) | (0<<ISC10) | (0<<ISC01) | (0<<ISC00);
MCUCSR=(0<<ISC2);

// USART initialization
// USART disabled
UCSRB=(0<<RXCIE) | (0<<TXCIE) | (0<<UDRIE) | (0<<RXEN) | (0<<TXEN) | (0<<UCSZ2) | (0<<RXB8) |
(0<<TXB8);

// Analog Comparator initialization


// Analog Comparator: Off
// The Analog Comparator's positive input is
// connected to the AIN0 pin
// The Analog Comparator's negative input is
// connected to the AIN1 pin
ACSR=(1<<ACD) | (0<<ACBG) | (0<<ACO) | (0<<ACI) | (0<<ACIE) | (0<<ACIC) | (0<<ACIS1) | (0<<ACIS0);

// ADC initialization
// ADC Clock frequency: 86.400 kHz
// ADC Voltage Reference: AREF pin
// ADC Auto Trigger Source: ADC Stopped
// Only the 8 most significant bits of
// the AD conversion result are used
ADMUX=ADC_VREF_TYPE;
ADCSRA=(1<<ADEN) | (0<<ADSC) | (0<<ADATE) | (0<<ADIF) | (0<<ADIE) | (1<<ADPS2) | (1<<ADPS1) |
(1<<ADPS0);
SFIOR=(0<<ADTS2) | (0<<ADTS1) | (0<<ADTS0);

// SPI initialization
// SPI disabled
SPCR=(0<<SPIE) | (0<<SPE) | (0<<DORD) | (0<<MSTR) | (0<<CPOL) | (0<<CPHA) | (0<<SPR1) | (0<<SPR0);

// TWI initialization
// TWI disabled
TWCR=(0<<TWEA) | (0<<TWSTA) | (0<<TWSTO) | (0<<TWEN) | (0<<TWIE);

while (1)
{
// Place your code here
lcd_gotoxy(0,0);
tampil3(adc_data[0]);
if(adc_data[0]>400){
PORTC = 0xff;
}
else if (adc_data[0]<=400){
PORTC = 00000000;
}
}

VII. ANALISA DAN KESIMPULAN


Praktikum ADC yang telah dilakukan menunjukkan jika fungsi ADC adalah
mengubah sinyal analog menjadi digital. Menggunakan mikrokontroller ATMEGA16
dengan Potensiometer sebagai pengatur tergangan dan LED sebagai outputnya.
Potensiometer digunakan untuk sebagai acuan pembagi tegangan (analog) seiring
putaran perubahan nilai data yang nantinya akan ditunjukkan oleh LED(digital).
Pada percobaan A, saat mikrokontroller Atmega16 diatur dalam 8 bit
dengan LED sebagai output menunjukkan jika LED menyala data biner bernilai 1
sedangkan jika LED mati data biner bernilai 0. Percobaan pertama, data biner
menunjukkan nilai 00000000b ketika potensiometer diatur pada nilai 0%.
Selanjutnya, saat potensiometer diatur pada nilai 50%, LED menunjukkan data biner
10000000b dan seterusnya.
Pada percobaan B, mikrokontroller diatur pada interrupt agar dapat
dikonversi dan ditampilkan pada LCD. Pada LCD nantinya akan menampilkan data
karakter dari perubahan data 8bit yang diatur oleh potensiometer.
Beberapa kesimpulan dari percobaan diatas adalah:
1. Potensiometer berperan sebagai input pengatur tegangan yang bernilai
data analog.
2. LED yang dihubungkan PORTB berperan sebagai output yang
menampilkan hasil konversi dari analog ke digital.
3. ATMEGA16 merupakan mikrokontroller yang bisa merubah data analog
menjadi digital.

Anda mungkin juga menyukai