Anda di halaman 1dari 93

Praktikum Teknik Digital 1/93

PENDAHULUAN

Elektronics Workbanch

Elektronics Workbanch merupakan software yang digunakan untuk


membuat skema elektronik beserta simulasinya. Pada modul ini dibahas
langkah langkah praktis yang diharapkan dapat membimbing praktikan untuk
mendesain rangkaian dan kemudian mensimulasikannya.

A Menjalankan Program Elektronics Workbanch


Untuk menjalankan Elektronics Workbanch pada Desktop anda, click
icon Start → Program file →elektronicks Workbench seperti gambar dibawah
ini:

Laboratorium Teknik Digital ITTelkom Surabaya


2/93 Praktikum Teknik Digital

dan setelah itu akan muncul tampilan dari program Electronics Workbench
seperti gambar dibawah ini:

Selanjutnya untuk memulai mendesain rangkaian, click File → New Projek,


dengan demikian sekarang anda dapat memulai untuk mendesain rangkain.
Untuk lebih jelasnya dapat anda lihat gambar dibawah ini:

B Memilih Komponen (Part)


Pemilihan komponen part dapat anda lakukan dengan cara click pada
icon komponen yang dibutuhkan. Adapun komponen part yang tersedia pada
Electronics Workbench 5.1 ini adalah:

Laboratorium Teknik Digital ITTelkom Surabaya


Praktikum Teknik Digital 3/93

1. Sources
Icon :

Di tool palette yang ada di bagian atas layar Electronics Workbench.


Adapun komponen part yang terdapat pada Sources adalah sebagai berikut:

2. Basic
Icon :

Di tool palette yang ada di bagian atas Electronics Workbench. Adapun


komponen part yang terdapat pada Source adalah sebagai berikut:

3. Diodes
Icon:

Laboratorium Teknik Digital ITTelkom Surabaya


4/93 Praktikum Teknik Digital

Di tool palette yang ada di bagian atas Electronics Workbench. Adapun


komponen part yang terdapat pada Source adalah sebagai berikut:

4. Transistors
Icon:

Di tool palette yang ada di bagian atas Electronics Workbench. Adapun


komponen part yang terdapat pada Source adalah sebagai berikut:

5. Analog Ics
Icon:

Di tool palette yang ada di bagian atas Electronics Workbench. Adapun


komponen part yang terdapat pada Source adalah sebagai berikut:

Laboratorium Teknik Digital ITTelkom Surabaya


Praktikum Teknik Digital 5/93

6. Mixed Ics
Icon:

Di tool palette yang ada di bagian atas Electronics Workbench. Adapun


komponen part yang terdapat pada Source adalah sebagai berikut:

7. Digital Ics
Icon:

Di tool palette yang ada di bagian atas Electronics Workbench. Adapun


komponen part yang terdapat pada Source adalah sebagai berikut:

8. Logic Gates
Icon:

Di tool palette yang ada di bagian atas Electronics Workbench. Adapun


komponen part yang terdapat pada Source adalah sebagai berikut:

Laboratorium Teknik Digital ITTelkom Surabaya


6/93 Praktikum Teknik Digital

9. Digital
Icon:

Di tool palette yang ada di bagian atas Electronics Workbench. Adapun


komponen part yang terdapat pada Source adalah sebagai berikut:

10. Indicators
Icon:

Di tool palette yang ada di bagian atas Electronics Workbench. Adapun


komponen part yang terdapat pada Source adalah sebagai berikut:

Laboratorium Teknik Digital ITTelkom Surabaya


Praktikum Teknik Digital 7/93

11. Controls
Icon:

Di tool palette yang ada di bagian atas Electronics Workbench. Adapun


komponen part yang terdapat pada Source adalah sebagai berikut:

12. Miscellaneous
Icon:

Di tool palette yang ada di bagian atas Electronics Workbench. Adapun


komponen part yang terdapat pada Source adalah sebagai berikut:

13. Instruments
Icon:

Di tool palette yang ada di bagian atas Electronics Workbench. Adapun


komponen part yang terdapat pada Source adalah sebagai berikut:

Laboratorium Teknik Digital ITTelkom Surabaya


8/93 Praktikum Teknik Digital

C Menghubungkan Komponen (Place Ware)


Untuk dapat bekerja komponen komponen harus dihubungkan dengan
wire, adapaun caranya adalah:
- Tempatkan pointer mouse pada pin salah satu komponen yang akan
di hubungkan.
- Bawa pointer mouse pada pin yang lain, hingga komponen tersebut
terhubung.
Untuk lebih jelasnya dapat dilihat gambar dibawah ini.

D Mengedit Propertis
Edit Propertis digunakan untuk merubah : Nama Komponen, Value
Komponen, jumlah input dan sebagainya. Adapun caranya adalah click kanan
mouse anda pada komponen yang akan diedit propertisnya, selanjutnya kita
ganti propertis dari komponen yang akan kita ganti. Untuk lebih jelasnya dapat
dilihat pada gambar dibawah ini.

Laboratorium Teknik Digital ITTelkom Surabaya


Praktikum Teknik Digital 9/93

Selanjutnya akan tampil gambar sebagai berikut :

E Menjalankan simulasi
Setelah semua rangkaian selesai kita rangkai, Vcc dan Gnd sudah
terhubung semua maka dapat kita jalankan simulasi kita dengan cara
mengaktifkan power. Palette power terletak pada pojok kanan atas layar
Elektronic Workbench. Untuk lebih jelasnya dapat kita lihat gambar dibawah
ini.

Laboratorium Teknik Digital ITTelkom Surabaya


10/93 Praktikum Teknik Digital

Icon:

Laboratorium Teknik Digital ITTelkom Surabaya


Praktikum Teknik Digital 11/93

PRAKTIKUM I
GERBANG LOGIKA DASAR 1

A. TUJUAN
Mengenal dan mempelajari gerbang-gerbang (gate) AND, OR, NOT,
NAND, NOR dan melihat tabel kebenaran dari masing-masing gerbang serta
penyederhanaan / minimisasi suatu rangkaian logika.

B. PENGANTAR
Pengenalan terhadap gerbang-gerbang logika dasar (AND, OR, NOT,
NAND dan NOR) akan membantu kita di dalam mempelajari dan
merencanakan suatu rangkaian logika. Disamping itu pengenalan terhadap
aturan/hukum Aljabar Boolean, tabel kombinasi, serta kombinasi gerbang
dapat membantu kita dalam menyederhanakan dan membangun suatu
rangkaian logika.

C. DASAR
1. Pengertian operasi gerbang logika dasar (AND, OR, NOT, NAND, NOR).

• Gerbang AND
A
F
B

Gerbang AND disimbolkan dengan tanda (.)

Laboratorium Teknik Digital ITTelkom Surabaya


12/93 Praktikum Teknik Digital

F=A.B

Gerbang logika AND fungsi outputnya akan bernilai logika 1


apabila semua input masukannya bernilai 1, hal ini dapat
digambarkan sebagai rangkaian seri antara switch A dengan switch
B pada gambar dibawah ini.
Lampu akan menyala jika kedua switch A dan B ditekan , dan
lampu akan mati jika salah satu atau kedua switch dilepaskan.

A
B

LAMPU

Baterai

Gb Rangkaian Seri
• Gerbang OR
A
F
B

Gerbang OR disimbolkan dengan tanda (+)


F= A + B

Laboratorium Teknik Digital ITTelkom Surabaya


Praktikum Teknik Digital 13/93

Gerbang logika OR fungsi outputnya akan bernilai logika 1 apabila


salah satu atau semua input masukannya bernilai 1, hal ini dapat
digambarkan sebagai rangkaian parallel antara switch A dengan
switch B.
Lampu akan menyala jika salah satu atau kedua switch ditekan,
dan lampu akan mati jika kedua switch A dan B tidak ada yang
ditekan.

LAMPU

Baterai

Gb Rangkaian Parallel
• Gerbang NOT

A X

Gerbang NOT disimbolkan dengan garis diatas variabel nilai logika


A

Laboratorium Teknik Digital ITTelkom Surabaya


14/93 Praktikum Teknik Digital

Gerbang logika NOT hanya memiliki satu jalur input dan satu jalur
output. Output dari gerbang NOT adalah kebalikan dari inputannya,
apabila inputannya berlogika 1 maka outputnya akan berlogika 0
dan begitu pula sebaliknya apabila inputannya 0 outputnya akan
berlogika 1. Untuk lebih jelasnya dapat dilihat gambar dibawah ini.

A
Relai

Gb Relay active low input

Apabila switch A ditekan maka relai (normaly closed ) akan aktif,


pada saat aktif relai akan memutus aliran listrik dari baterey ke
lampu dan akibatnya lampu akan mati, sebaliknya apabila switch A
dilepaskan kembali relai akan kembali mengalirkan listrik ke lampu
sehingga lampu akan menyala kembali.

Laboratorium Teknik Digital ITTelkom Surabaya


Praktikum Teknik Digital 15/93

• Gerbang NAND

F= A.B

Gerbang logika NAND (NOT- AND) merupakan pengembangan


dari gerbang logika AND, gerbang NAND pada dasarnya adalah
gerbang AND yang outputnya di NOT kan. Fungsi output dari
gerbang logika NAND merupakan kebalikan dari fungsi output
gerbang AND.

• Gerbang NOR

F= A+B

Gerbang logika NOR (NOT-OR) merupakan pengembangan dari


gerbang logika OR, gerbang OR pada dasarnya adalah gerbang OR
yang outputnya di NOT kan. Fungsi output dari gerbang NOR
merupakan kebalikan dari fungsi output gerbang OR.

Laboratorium Teknik Digital ITTelkom Surabaya


16/93 Praktikum Teknik Digital

2. Pengertian konsep dasar Aljabar Boolean.

Laboratorium Teknik Digital ITTelkom Surabaya


Praktikum Teknik Digital 17/93

D. PERCOBAAN
1. Buatlah tabel kebenaran dari gate AND, OR, NOR, NAND dengan 2 input
serta NOT.
Tabel Kebenaran AND gate 2 input:
A B F

Laboratorium Teknik Digital ITTelkom Surabaya


18/93 Praktikum Teknik Digital

0 0
0 1
1 0
1 1

Tabel Kebenaran OR gate 2 input:


A B F
0 0
0 1
1 0
1 1

Tabel Kebenaran NOR gate 2 input:


A B F
0 0
0 1
1 0
1 1

Laboratorium Teknik Digital ITTelkom Surabaya


Praktikum Teknik Digital 19/93

Tabel Kebenaran NAND gate 2 input:


A B F
0 0
0 1
1 0
1 1

Tabel Kebenaran NOT gate:


A F
0
1

Max15
.....................................................................................................................
.............................( )
2. Buatlah tabel kebenaran untuk ungkapan aljabar Boolean berikut ini:
a)
b) A B C + A B C + A B C
Max20
......................................................................................................................
.............................( )
3. Rangkailah fungsi dibawah ini pada Electronic Workbench dan
buktikanlah fungsi tersebut
a) A. ( A + B) = A

Laboratorium Teknik Digital ITTelkom Surabaya


20/93 Praktikum Teknik Digital

b) A.(( A + B) + C ) = A

c) A.( B. C) = ( A. B). C
Max35
......................................................................................................................
.............................( )

4. Sederhanakan fungsi :
T = ( A + B + C).( A + B + C).( A + B + C)

T = A BC + A BC + ABC + A BC
Kemudian buktikan dengan Electronic Workbench bahwa hasil penyeder
hanaan yang anda lakukan adalah benar!
Max30
……………………………………………………………………………
………………….( )

Laboratorium Teknik Digital ITTelkom Surabaya


Praktikum Teknik Digital 21/93

PRAKTIKUM II
GERBANG LOGIKA DASAR 2

A. TUJUAN
Mengenal dan mempelajari gerbang-gerbang (gate) AND, OR, NOT,
NAND, NOR dan melihat tabel kebenaran dari masing-masing gerbang serta
penyederhanaan/minimisasi suatu rangkaian logika.

B. PENGANTAR
Metode peta atau K-Map dapat digunakan untuk menyederhanakan
ungkapan aljabar boolean, sehingga dapat menyederhanakan rangkaian
logika yang merealisasikan ungkapan tersebut. Penyederhanaan suatu
rangkaian logika dapat dilakukan karena suatu gerbang logika dapat dibentuk
dari gerbang logika yang lain (prinsip dualisme).

C. DASAR

1. Tabel kebenaran
Untuk mengekspresikan hubungan antara (input) dan respon (output)
dapat digunakan sebuah tabel yang menyatakan hubungan itu. Tabel itu
lazim disebut sebagai Tabel Kebenaran. Pada tabel kebenaran semua
kemungkinan kombinasi input harus dinyatakan secara jelas. Jadi kalau
kita memiliki 2 bit input maka total kombinasi input sebanyak 2² buah.
Secara umum total kombinasi input dapat dinyatakan sebanyak 2
pangkat n buah, dimana n adalah jumlah bit input.

Laboratorium Teknik Digital ITTelkom Surabaya


22/93 Praktikum Teknik Digital

Contoh tabel kebenaran:


x y z f1(x, y, z)
0 0 0 0
0 0 1 0
0 1 0 1
0 1 1 1
1 0 0 1
1 0 1 0
1 1 0 1
1 1 1 1

Laboratorium Teknik Digital ITTelkom Surabaya


Praktikum Teknik Digital 23/93

2. Minterm dan Maxterm


Sebuah fungsi boolean dapat ditulis dalam sebuah ekspresi sederhana
yang disebut minterm dan maxterm. Yang dimaksud dengan minterm
adalah ekspresi Boolean standar menggunakan operator AND .
Sedangkan maxterm adalah ekspresi Boolean standar menggunakan
operator OR.
Baik minterm maupun maxterm diberi nomor urut. Nomor urut
disesuaikan dengan besaran dalam desimal kombinasi input yang
bersangkutan. Minterm ditulis dengan simbol m (huruf m kecil)
sedangkan maxterm ditulis dengan simbol M (huruf M besar).

A B f1(A,B)
0 0 0
0 1 1
1 0 0
1 1 1

minterm
Ilustrasi dari tabel diatas adalah:

m0 berekspresi A . B

m1 berekspresi A . B

m2 berekspresi A . B

m3 berekspresi A . B

Laboratorium Teknik Digital ITTelkom Surabaya


24/93 Praktikum Teknik Digital

Dari penjelasan diatas tampak bahwa minterm dibentuk dari operator


AND (.). Untuk menjadikan sebuah fungsi Boolean, minterm-minterm
dapat dihubungkan dengan menggunakan operator OR.

Fungsi SOP (Sum of Product) dari tabel diatas adalah:

F = A . B+A.B

Maxterm
Fungsi dari tabel diatas juga dapat dituliskan kedalam bentuk Maxterm,
adapun maxterm dari tabel diatas adalah:

M0 berekspresi A + B

M1 berekspresi A + B

M2 berekspresi A + B

M3 berekspresi A + B

Dari penjelasan diatas tampak bahwa maxterm dibentuk dari operator


OR (+). Penggabungan Maxterm akan membentuk suatu fungsi POS
(product of sum).
Fungsi POS dari tabel diatas adalah:

F = ( A + B) ( A + B )

Laboratorium Teknik Digital ITTelkom Surabaya


Praktikum Teknik Digital 25/93

3. Pembentukan fungsi sederhana dari tabel kebenaran dan K-MAP.


Peta Karnaugh atau populer disebut sebagai Karnaugh Map atau K-map
adalah sebuah peta yang terbangun dari baris dan kolom. Kegunaan dari
K-map adalah untuk menyederhanakan suatu fungsi Boolean, tanpa
harus menggunakan teorema teorema Boolean.
Pengelompokan (grouping ) dalam K-map:
1. Island : Satuan terkecil pengelompokan, terdiri hanya atas satu sel
itu sendiri.
2. Dual :Kelompok dari sel yang bertetangga.
3. Quad : Kelompok dari 4 sel yang bertetangga.
4. Group of Eight: Kelompok dari 8 sel yang bertetangga.
Contoh:

Penyederhanaan dari fungsi diatas adalah :

F= A . C. D + B C + A . B. C . D

Laboratorium Teknik Digital ITTelkom Surabaya


26/93 Praktikum Teknik Digital

4. Menggambar rangkaian dengan gate NAND


Hal-hal yang perlu diperhatikan adalah:
1. Lakukanlah operasi double komplemen
2. Berlakukanlah theorema demorgan
3. Menggambar rangkaiannya

Laboratorium Teknik Digital ITTelkom Surabaya


Praktikum Teknik Digital 27/93

5. Menggambar rangkaian dengan gerbang NOR


Hal-hal yang perlu diperhatikan adalah:
1. Tuangkan persamaan kedalam bentuk Product Of Sum (POS)
2. Lakukan doble complement
3. Berlakukan theorema demorgan
4. Gambarlah rangkaian logikanya

Laboratorium Teknik Digital ITTelkom Surabaya


28/93 Praktikum Teknik Digital

D. PERCOBAAN
1. Diketahui tabel kebenaran seperti di bawah ini, anda diminta untuk
menuliskan fungsi outputnya dalam bentuk SOP dan POS:

x y Z f1(x, y, z) f2(x, y, z) f3(x, y, z)


0 0 0 0 0 1
0 0 1 0 1 0
0 1 0 1 1 0
0 1 1 1 1 1
1 0 0 1 0 0
1 0 1 0 1 1
1 1 0 1 1 0
1 1 1 1 0 1
Max 20 point
......................................................................................................................
.......( )
2. Sederhanakan fungsi-fungsi tersebut dan rancanglah rangkaian
logikanya dengan menggunakan NAND saja dan NOR saja.
Max 25 point
...................................................................................................................
............( )
3. Dari Karnough Map dibawah ini, tuliskan fungsi outputnya dalam
bentuk SOP dan POS:

Laboratorium Teknik Digital ITTelkom Surabaya


Praktikum Teknik Digital 29/93

AB
CD 00 01 11 10
00 1 1 1 0
01 0 1 1 0
11 1 1 0 0
10 0 0 1 0

Sederhanakan fungsi-fungsi tersebut dan rancanglah rangkaian logika untuk


masing-masing fungsi yang sudah disederhanakan tersebut dengan hanya
menggunakan NAND saja dan NOR saja.
Max 55 Point
..........................................................................................................................
...................( )

Laboratorium Teknik Digital ITTelkom Surabaya


30/93 Praktikum Teknik Digital

PRAKTIKUM III
ARITHMATIC DAN LOGICAL UNIT I

A. TUJUAN

• Mempelajari komponen dasar arithmatic yaitu: half adder, full


adder dan pengembangan aplikasi adder untuk operasi perkalian.

B. PENGANTAR

Arithmatic and Logical Unit atau sering disebut sebagai ALU adalah
bagian penting dari mikroprosesor yang melakukan operasi matematika
(penjumlahan, pengurangan, perkalian dan sebagainya) serta melakukan juga
operasi operasi logika seperti (AND, OR, dan operasi logika lainnya).
Padadasarnya ALU merupakan rangkaian kombinasi, meskipun pada
prakteknya ALU disusun dari gabungan rangkaian kombinasi dan rangkaian
sekuensial. Yang akan kita bahas pada praktikum ini adalah ALU yang
melakukan operasi aritmatika penjumlahan dan pengurangan.

1. Adder
Operasi arithmatic yang paling dasar adalah penjumlahan dan
pengurangan. Untuk masalah arithmatic yang lebih kompleks dapat
dikembangkan dari 2 rangkaian dasar digital arithmatic, yaitu Half
Adder/Subtractor dan Full Adder/Subtractor.

Laboratorium Teknik Digital ITTelkom Surabaya


Praktikum Teknik Digital 31/93

Pada half adder, hasil penjumlahan dua bit berupa SUM (S) dan
CARRY (C). Untuk lebih jelasnya dapat dilihat pada gambar blok diagram
dibawah ini:

Tetapi pada full adder, SUM (S) dan CARRY (C) disimpan untuk operasi
bit berikutnya dan menjumlahkan tiga bit yaitu satu bit carry dan dua bit data.
Untuk lebih jelasnya dapat dilihat gambar blok diagram dibawah ini:

Rangkaian Full Adder juga dapat dibentuk dari 2 buah rangkaian Half Adder
seperti yang terlihat pada gambar dibawah ini:

Laboratorium Teknik Digital ITTelkom Surabaya


32/93 Praktikum Teknik Digital

Rangkaian Half Adder dan Full Adder dapat direalisasikan dengan jalan
sebagai berikut:
1. Buat dahulu tabel kebenarannya.
2. Dari tabel kebenaran, buat fungsinya (dalam bentuk SOP atau POS).
3. Sederhanakan fungsi tersebut dengan menggunakan K-Map atau
Aljabar Boolean.
4. Realisasikan fungsi tersebut.

2. Paralel Adder
Paralel Adder digunakan untuk menjumlahkan bilangan biner lebih dari
satu bit. Sebagai contohnya adalah untuk menjumlahkan dua buah bilangan
biner 4 bit kita memerlukan sebuah half adder dan tiga buah full adder. Half
adder kita tempatkan untuk menjumlahkan LSB dari kedua bilangan tersebut
karena penjumlahan LSB sudah tentu tidak melibatkan carry in. Cara kerja
rangkaian ini sama seperti cara kita melakukan penjumlahan biner. Untuk lebih
jelasnya dapat dilihat pada gambar dibawah ini:

Laboratorium Teknik Digital ITTelkom Surabaya


Praktikum Teknik Digital 33/93

C. DASAR
1. Pengertian dari arithmatic bilangan biner (penjumlahan dan pengurangan).
2. Penyederhanaan fungsi

D. PERCOBAAN
1. Lengkapilah tabel kebenaran Half Adder dibawah ini:

Laboratorium Teknik Digital ITTelkom Surabaya


34/93 Praktikum Teknik Digital

A B C S
0 0
0 1
1 0
1 1

Gambarkan rangkaian logikanya dengan NAND gate saja


Max 15Point
............................................................................................................................
..........( )
2. Susunlah rangkaian Full Adder dibawah ini:

Hubungkan A, B, Cin pada logic switch. S dan Cout pada lampu indikator.
Kemudian lakukan percobaan sesuai tabel di bawah ini :

Laboratorium Teknik Digital ITTelkom Surabaya


Praktikum Teknik Digital 35/93

A B Cin Cout S
0 0 0
0 0 1
0 1 0
0 1 1
1 0 0
1 0 1
1 1 0
1 1 1

Max 15
Point....................................................................................................................
.................( )

3. Susunlah rangkaian paralel adder seperti dibawah ini, dan buatlah tabel
kebenarannya.
A1 A0
B1 B0

S S

Cout Cout

Cout S1 S0

Laboratorium Teknik Digital ITTelkom Surabaya


36/93 Praktikum Teknik Digital

B1 B0 A1 A0 Cout S1 S0
0 0 0 0
0 0 0 1
0 0 1 0
0 0 1 1

dst............
Max 20
Point....................................................................................................................
................( )

4. Buatlah rangkaian perkalian bilangan biner seperti dibawah ini

Buatlah tabel kebenarannya kemudian desain rangkaiannya (dari adder


dan gerbang logika)

Max 50
Point.................................................................................................................
.....................( )

Laboratorium Teknik Digital ITTelkom Surabaya


Praktikum Teknik Digital 37/93

PRAKTIKUM IV
ARITHMATIC DAN LOGICAL UNIT II

A. TUJUAN

• Mempelajari komponen dasar arithmatic yaitu : half subtraktor dan


full subtractor.
• Mempelajari Komparator.

B. PENGANTAR

Arithmatic and Logical Unit atau sering disebut sebagai ALU adalah
bagian penting dari mikroprosesor yang melakukan operasi matematika
(penjumlahan, pengurangan, perkalian dan sebagainya) serta melakukan juga
operasi operasi logika seperti (AND, OR, dan operasi logika lainnya).
Padadasarnya ALU merupakan rangkaian kombinasi, meskipun pada
prakteknya ALU disusun dari gabungan rangkaian kombinasi dan rangkaian
sekuensial. Yang akan kita bahas pada praktikum ini adalah ALU yang
melakukan operasi aritmatika penjumlahan dan pengurangan.

1. Subtractor
Operasi arithmatic yang paling dasar adalah penjumlahan dan
pengurangan. Untuk masalah arithmatic yang lebih kompleks, dapat

Laboratorium Teknik Digital ITTelkom Surabaya


38/93 Praktikum Teknik Digital

dikembangkan dari 2 rangkaian dasar digital arithmatic, yaitu Half


Adder/Subtractor dan Full Adder/Subtractor.
Pada half subtractor, hasil pengurangan dua bit berupa
BORROW (Br) dan DIFFERENCE (D). Untuk lebih jelasnya dapat dilihat
pada gambar blok diagram dibawah ini:

Tetapi pada pada full subtractor yang disimpan adalah DIFFERENCE (D)
dan BORROW (Br) dan juga mengoperasikan tiga bit masukan, satu bit borrow
dan dua bit data. Untuk lebih jelasnya dapat dilihat gambar blok diagram
dibawah ini:

Rangkaian Full Subtractor juga dapat dibentuk dari 2 buah rangkaian Half
Subtractor seperti yang terlihat pada gambar dibawah ini:

Laboratorium Teknik Digital ITTelkom Surabaya


Praktikum Teknik Digital 39/93

Rangkaian Half Adder/Half Subtractor dan Full Adder/Full Subtractor dapat


direalisasikan dengan jalan sebagai berikut:
1. Buat dahulu tabel kebenarannya.
2. Dari tabel kebenaran, buat fungsinya (dalam bentuk SOP atau POS).
3. Sederhanakan fungsi tersebut dengan menggunakan K-Map atau
Aljabar Boolean.
4. Realisasikan fungsi tersebut.

2. Paralel Subtractor
Untuk mengurangkan bilangan biner lebih dari 1 bit diperlukan parallel
subtractor. Sebagai contohnya adalah
untuk mengurangkan dua buah bilangan biner 4 bit kita memerlukan sebuah
half subtractor dan tiga buah full subtractor. Half subtractor kita tempatkan
untuk mengurangkan LSB dari kedua bilangan tersebut karena pengurangan
pada LSB sudah tentu tidak melibatkan Borrow in. Cara kerja rangkaian ini
sama seperti cara kita melakukan pengurangan biner. Untuk lebih jelasnya
dapat dilihat pada gambar dibawah ini:

Laboratorium Teknik Digital ITTelkom Surabaya


40/93 Praktikum Teknik Digital

3. Comparator
Comparator adalah suatu rangkaian logika yang berfungsi untuk
pembanding bilangan, apakah bilangan itu lebih besar (>), sama dengan (=)
atau lebih kecil (<) dibandingkan dengan bilangan yang lain. Adapun blok
diagram dari rangkaian comparator adalah sebagai berikut:

Laboratorium Teknik Digital ITTelkom Surabaya


Praktikum Teknik Digital 41/93

I A (I1 = I2)
1
COMPARATOR B (I1 < I2)
I
2 C (I1 > I2)

C. DASAR
1. Pengertian dari arithmatic bilangan biner (penjumlahan dan
pengurangan).
2. Pengertian Comparator.
3. Penyederhanaan Fungsi
D. PERCOBAAN
1. Lengkapilah tabel kebenaran rangkaian Binary Half Subtractor berikut.

A B D Bout
0 0
0 1
1 0
1 1

Gambarkan rangkaian logikannya dengan NAND gate saja


Max 15 Point
............................................................................................................................
......( )

Laboratorium Teknik Digital ITTelkom Surabaya


42/93 Praktikum Teknik Digital

2. Susunlah rangkaian Full Subtractor di bawah ini.

Hubungkan A, B, Bin pada logic switch. D dan Bout pada lampu indikator.
Kemudian lakukan percobaan sesuai tabel di bawah ini:

Laboratorium Teknik Digital ITTelkom Surabaya


Praktikum Teknik Digital 43/93

A B Bin Bout D
0 0 0
0 0 1
0 1 0
0 1 1
1 0 0
1 0 1
1 1 0
1 1 1

Max 15 Point
.........................................................................................................................
.............( )

3. Susunlah rangkaian paralel subtractor seperti dibawah ini, dan buatlah


tabel kebenarannya.

A1 A0
B1 B0

D D

Bout Bout

Bout D1 D0

Laboratorium Teknik Digital ITTelkom Surabaya


44/93 Praktikum Teknik Digital

B1 B0 A1 A0 Bout D1 D0
0 0 0 0
0 0 0 1
0 0 1 0
0 0 1 1
dst...........
Max 30 Point
.........................................................................................................................
........( )
4. Rancanglah suatu komparator degan spesifikasi sebagai berikut

Input dari komparator adalah A (A1,A0) dan B (B1,B0), sedangkan


outputnya adalah X dan Y.
Apabiala A< B maka outputnya adalah X= 0 dan Y=1
Apabiala A> B maka outputnya adalah X= 1 dan Y=0
Apabiala A= B maka outputnya adalah X= 0 dan Y=0

Buatlah tabel kebenarannya kemudian sederhanakanlah fungsinya.


Gambarlah rangkaian logikanya dengan gerbang Nand saja.

Max 40 Point
............................................................................................................................
.....( )

Laboratorium Teknik Digital ITTelkom Surabaya


Praktikum Teknik Digital 45/93

PRAKTIKUM V
Decoder

A. TUJUAN
a. Mengetahui karakteristik Decoder
b. Bagaimana membuat cascaded Decoder

B. PENGANTAR
1. Decoder
Rangkaian decoder adalah rangkaian kombinasi yang banyak mempunyai
banyak input (n bit) dan banyak output (2n bit). Rangkaian ini berfungsi
untuk memilih atau mengaktifkan satu bit output untuk setiap kombinasi
input yang diterima.

Gb Decoder 2X4
Berikut ini adalah contoh tabel kebenaran untuk Decoder 2X4 dengan
output aktif low:
11 10 00 01 02 03

Laboratorium Teknik Digital ITTelkom Surabaya


46/93 Praktikum Teknik Digital

0 0 0 1 1 1
0 0 1 0 1 1
1 0 1 1 0 1
1 1 1 1 1 0

2. 7 Segment Decoder
Pemanfaatan decoder pada elektronika digital salah satunya adalah
sebagai penampil bilangan desimal yang dinyatakan oleh segment-
segment yang menyala. Untuk menampilkan atau mengubah bilangan
biner menjadi bilangan desimal yang langsung bisa dibaca digunakan
suatu 7-segment dan decodernya. Decodernya disebut Binary to 7-
segment decoder. Sebagai data biner inputnya digunakan logic switch.

Gb Decoder to Seven Segment


3. Cascade Decoder
Beberapa buah decoder dapat pula disusun secara bertingkat (cascaded).
Tidak jarang rangkaian decoder bersusun digunakan dalam desain
rangkaian kombinasi, seperti dalam pemilihan IC memori dalam sebuah
sistem mikroprosesor. Dengan cara ini kita dapat membuat sebuah

Laboratorium Teknik Digital ITTelkom Surabaya


Praktikum Teknik Digital 47/93

decoder dengan beberapapun banyak inputnya yang terdiri dari beberapa


decoder dengan jumlah input yang lebih sedikit.

C. DASAR
1. Pengertian Decoder
2. Decoder to seven segment
D. PERCOBAAN
1. Susunlah rangkaian seperti gambar di bawah ini:

A a
b
B c
7447 d
C e
f
D g

BI/RBO RBI LT
Hubungkan input ABCD pada inputan dan isilah tabel kebenaran di
bawah ini:

Laboratorium Teknik Digital ITTelkom Surabaya


48/93 Praktikum Teknik Digital

D C B A a b c d e f g Tampilan
0 0 0 0
0 0 0 1
0 0 1 0
0 0 1 1
0 1 0 0
0 1 0 1
0 1 1 0
0 1 1 1
1 0 0 0
1 0 0 1
1 0 1 0
1 0 1 1
1 1 0 0
1 1 0 1
1 1 1 0
1 1 1 1

Max 10 Point
........................................................................................................................
...........( )

2. Untuk pengetesan BI/RBO, RBI, LT lakukan percobaan di bawah ini:


D C B A LT RBI BI/RBO Q
0 0 0 0 0 0 1
0 0 0 0 X X 0
0 0 0 0 1 0 0
0 0 0 0 1 1 1

Laboratorium Teknik Digital ITTelkom Surabaya


Praktikum Teknik Digital 49/93

Apakah fungsi RBI, BI/RBO, dan LT pada decoder to Seven Segment?


Max 10 Point
.......................................................................................................( )

3. Susunlah rangkaian di bawah ini. Hubungkan input A, B, dan C pada


logic “1”. Q0 sampai dengan Q7 pada lampu indikator.
Lakukanlah percobaan seperti pada tabel.

C B A Q Q Q Q Q Q Q Q
16
Vcc
7 6 5 4 3 2 1 0
A Q0
B 7 Q1
C 4 Q2 0 0 0
1 Q3
Q4
3
"0" G2a 8
Q5
Q6
0 0 1
"0" G2b Q7
"1" G1 gnd
8 0 1 0
0 1 1
1 0 0
1 0 1
1 1 0
1 1 1

Max 20 Point
...........................................................................................( )

4. Hubungkan rangkaian seperti di bawah. Hubungkan kaki 6 pada pulser


(push on) sedangkan Q0 - Q7 ke lampu indikator. Hubungkan input A,
B, C pada logic switch.

Laboratorium Teknik Digital ITTelkom Surabaya


50/93 Praktikum Teknik Digital

Pulser 6
Q0
Q1 Pulser C B A Q7 Q6 Q5 Q4 Q3 Q2 Q1 Q0
Q2
Q3
74138 Q4
Q5
0 0 0 0
A 3
Q6
B
C
2
1
Q7 1 0 0 0
4 5

0 0 0 1
1 0 0 1
0 0 1 0
1 0 1 0
0 0 1 1
1 0 1 1
0 1 0 0
1 1 0 0
0 1 0 1
1 1 0 1
0 1 1 0
1 1 1 0
0 1 1 1
1 1 1 1

Max 20 Point
...................................................................................................................
................( )

Laboratorium Teknik Digital ITTelkom Surabaya


Praktikum Teknik Digital 51/93

5. Diketahui suatu fungsi boolean sebagai berikut

Realisasikan fungsi diatas dengan 1 buah Decoder 2X4 dan 4 buah


Decoder 3X8

Max 40 Point
................................................................................................................
.............( )

PRAKTIKUM VI

Multiplekser

A. TUJUAN
a. Mengetahui karakteristik Multiplekser serta
kegunaannya.
b. Bagaimana membuat cascaded Multiplekser.

B. PENGANTAR
1. Multiplekser

Laboratorium Teknik Digital ITTelkom Surabaya


52/93 Praktikum Teknik Digital

Rangkaian multiplekser sering pula disebut sebagai rangkaian selektor


data. Rangkaian ini hanya akan meneruskan satu bit data ke terminal
output untuk setiap kombinasi input selektor yang dipilih. Rangkaian ini
mempunyai karakteristik input dan output seperti berikut ini.

Gb Multiplekser

Dimana banyaknya output : N = 2n dengan n adalah banyaknya sakelar


pemilih.

C. DASAR
1. Pengertian Multiplekser
2. Fungsi Multiplekser

D. PERCOBAAN

D0 D1 D2 D3 A0 A1 Y

Laboratorium Teknik Digital ITTelkom Surabaya


Praktikum Teknik Digital 53/93

1. Susunlah rangkaian di 1 0 0 0 0 0
bawah dengan IC yang 0 1 0 0 0 1
0 0 1 0 1 0
D0
7
D1
D2
Y
0 0 0 1 1 1
D3
74153
8
"0" S

A1 A0
14 2
input
address

telah disediakan. Hubungkan input D0, D1, D2, D3, Input Address pada
logic switch dan

Y pada lampu indikator. Hubungkan S (strobe) pada logic “0”.


Lakukan percobaan seperti pada tabel.
Max 30
Point.....................................................................................................
........................( )

2. Diketahui suatu fungsi seperti dibawah ini:

Realisasikan fungsi diatas dengan:


a. 1 buah Multiplexer 8X1 dan
8 buah Multiplexer 2X1
b. 1 buah Multiplexer 2X1 dan
2 buah Multiplexer 8X1

Laboratorium Teknik Digital ITTelkom Surabaya


54/93 Praktikum Teknik Digital

Max 70 Point
.........................................................................................................( )

PRAKTIKUM VII
RANGKAIAN SEKUENSIAL

Laboratorium Teknik Digital ITTelkom Surabaya


Praktikum Teknik Digital 55/93

A. TUJUAN
Mempelajari prinsip kerja rangkaian Sekuensial dan memahami prinsip
kerja dari flip-flop RS-FF, D-FF, dan JK-FF dengan input sinkron dan
asinkron.

B. PENGANTAR
Semua sistem yang dibicarakan pada praktikum yang lalu merupakan dasar
rangkaian kombinasional, dimana output hanya bergantung pada harga/nilai
input pada saat yang sama. Sistem yang demikian dikatakan tidak mempunyai
sifat / kemampuan menyimpan memory. Untuk membuat suatu sistem yang
memiliki kemampuan untuk menyimpan (memory) maka kita gunakan
Rangkaian sekuensial.
Rangkaian Sekuensial merupakan jenis rangkaian digital logika kedua
setelah rangkaian kombinasi, rangkaian ini memiliki bagian umpan balik (feed
back) yang dihubungkan kembali ke muara input rangkaian kombinasi.
Dengan adanya umpan balik ini fenomena memori dapat diamati pada
rangkaian sekuensial. Pada rangkaian sekuensial sinkron perubahan keadaan
saat ini (presen state) menjadi keadaan akan datang (next state) dipicu oleh
sebuah sinyal sinkronisasi clock. Sedangkan pada rangkaian sekuensial
asinkron, perubahan state terjadi saat terjadinya perubahan input.

C. SEL BINER
Untuk rangkaian sekuensial sinkron, fenomena tersebut dilakukan oleh
flip-flop. Dalam flip-flop terdapat rangkaian sel biner yang dapat menahan data

Laboratorium Teknik Digital ITTelkom Surabaya


56/93 Praktikum Teknik Digital

sementara. Fenomena memori sebenarnya adalah suatu mekanisme untuk


menunda “kelupaan”. Hal ini berdasar pada perkiraan bahwa sesungguhnya
tidak ada komponen yang dapat menyimpan data secara abadi. Sel biner
tersebut dibentuk dari sepasang gerbang NAND ataupun sepasang gerbang
NOR. Untuk sel biner yang terdiri dari sepasang gerbang NAND disebut cross-
copeled NAND gate dan yang terdiri dari gerbang NOR disebut cross-copeled
NOR gate.
Dua input pada rngkaian sel biner adalah input S untuk Set dan Input R
untuk Reset. Set membuat output Q menjadi 1, sedangkan Reset membuat
output Q menjadi 0.

Gb Sel Biner
Sebagai topik bahasan kita akan menggunakan rangkaian sel biner NAND.
Perhatikan gambar diatas, kita asumsikan pada saat awal input S=0 dan input
R=1 dan output Q awalnya adalah 1 (berarti output NOT Q=0). Perubahan
input S saja menjadi 1 tidak akan membuat output Q berubah menjadi 0, namun
bila input R juga ikut berubah menjadi 0 maka Q akan berubah pula menjadi 0
(berarti output NOT Q=1).
Dari ilustrasi tadi dapat disimpulkan bahwa rangkaian sel biner ini senpat
mempertahankan output Q sebelum input berubah dan ini merupakan dasar

Laboratorium Teknik Digital ITTelkom Surabaya


Praktikum Teknik Digital 57/93

rangkaian memori statik atau dapat dianggap sebagai komponen memori


paling primitif. Sel biner juga sering disebut dengan basic cell.

D. FLIP-FLOP
Salah satu komponen penting dari Rangkaian sekuensial yang akan kita
bahas dalam praktikum ini adalah flip-flop. Pada flip-flop harga output selain
ditentukan oleh harga input juga ditentukan oleh dirinya sendiri (merupakan
rangkaian dengan elemen feedback). Sistem yang demikian dikatakan
mempunyai sifat menyimpan memory, dan flip-flop merupakan rangkaian
dasar memory digital 1 bit.
Flip-Flop mempunyai 2 terminal output yang saling berlawanan satu
dengan lainnya. Sebuah flip-flop yang lengkap mempunyai input synchronous
dan input asynchronous. Input asynchronous adalah input yang tidak sinkron
dengan clock, ia dapat mempengaruhi output tanpa memperdulikan kondisi
input lainnya. Contoh PRESET dan CLEAR INPUT. Input synchronous hanya
dapat mempengaruhi output bila ada pulsa masuk.
1. Flip-flop S/R
Flip-flop jenis ini memiliki jenis yang sama dengan sel biner. Dengan
menambah kan gerbang NAND sebagai Set/Reset decoder seperti
gambar, sebuah sel biner dapat dibuat menjadi sebuah Flip-flop S/R.

Laboratorium Teknik Digital ITTelkom Surabaya


58/93 Praktikum Teknik Digital

Gb S/R Flip-flop

2. Flip-flop D
Nama lain flip-flop ini adalah flip-flop delay. Flip-flop D mempunyai
karakteristik bahwa “sinyal outputnya mengikuti sinyal input”, artinya
nilai pada input D akan dilanjutkan ke output Q setelah sinyal
sinkronisasi (clock). Jika input D=1 maka output Q akan menjadi 1 juga
setelah kehadiran sinyal clock, begitu pula jika input D=0 maka output
Q akan menjadi 0 setelah kehadiran sinyal clock.

Laboratorium Teknik Digital ITTelkom Surabaya


Praktikum Teknik Digital 59/93

Gb. D Flip-flop
3. Flip-flop J/K
Karakteristik flip-flop ini mirip dengan flip-flop S/R. Input J
mempunyai fungsi mirip dengan input S pada flip-flop S/R yaitu untuk
men- SET flip-flop, sedangkan input K mirip dengan input R yang
berfungsi sebagai RESET. Perbedaan antara S/R dan J/K adalah bila
input J dan K sama sama bernilai 1 maka nilai Q yang baru merupakan
komplemen nilai Q sebelumnya. Dengan kata lain nilai Q di-toggle,
jika input J dan K bernilai 1. Pada S/R flip-flop, S dan R tidak boleh
sama-sama bernilai 1, karena outputnya tidak dapat ditentukan.

Gb. J/K Flip-flop

E. KONVERSI SATU FLIP-FLOP KE FLIP-FLOP LAIN


Sebuah flip-flop yang telah diketahui karakteristiknya dengan mudah dapat
dikonversikan menjadi flip-flop lain yang tentunya yang tentunya juga telah
diketahui karakteristiknya. Konversi flip-flop ini biasanya dilakukan oleh
desainer apabila flip-flop yang diinginkannya sulit dijumpai di pasaran, atau

Laboratorium Teknik Digital ITTelkom Surabaya


60/93 Praktikum Teknik Digital

dia memerlukan suatu flip-flop dengan spesifikasikerja yang khusus. Diagram


blok konversi flip-flop dapat dilihat pada gambar berikut.

Gb. Blok diagram Konfersi flip-flop

F. PERCOBAAN
1. RS-FF
a) Bukalah program Elektronic Workbench pada komputer anda
b) Pilihlah part :
- Vcc dan Gnd yang terdapat pada Icon Sources
- SR-FF yang terdapat pada Icon Digital
- Lampu test yang terdapat pada Icon Indicators.
c) Rangkailah komponen tersebut seperti gambar dibawah ini.
d) Isilah tabel berikut berdasarkan percobaan yang telah anda lakukan.

Laboratorium Teknik Digital ITTelkom Surabaya


Praktikum Teknik Digital 61/93

Step S1 S2 Q Q

1 0 1
2 1 1
3 1 0
4 1 1
5 0 0
6 1 0
7 1 1
8 0 1
9 1 1
Max 10 Point
....................................................................................................( )
2. D-FF
a) Input asinkron
1. Buka New projeck pada Electronick Workbench
2. Pilihlah part :
- Vcc dan Gnd yang terdapat pada Icon Sources
- D-FF with Active Low Asyinch Inputs yang terdapat pada Icon
Digital
- Lampu test yang terdapat pada Icon Indicators.
3. Rangkailah komponen tersebut seperti gambar dibawah ini.

Laboratorium Teknik Digital ITTelkom Surabaya


62/93 Praktikum Teknik Digital

4. Isilah tabel berikut berdasarkan percobaan yang telah anda


lakukan.

Step Cl Pr Q Q

1 0 0
2 0 1
3 1 1
4 0 0
5 1 0
6 1 1
7 1 0
8 0 1

b) Input sinkron
1. Buka New projeck pada Electronick Workbench
2. Pilihlah part :
-Vcc dan Gnd yang terdapat pada Icon Sources
-D-FF With Active High Asynch Input pada Icon Digital
-Lampu test yang terdapat pada Icon Indicators.
3. Rangkailah komponen tersebut seperti gambar dibawah ini.
4. Isilah tabel berikut berdasarkan percobaan yang telah anda
lakukan.

Laboratorium Teknik Digital ITTelkom Surabaya


Praktikum Teknik Digital 63/93

Cl D Q Q
k
1 0
0 0
1 1
0 1
1 0
Max 20 Point
.......................................................................................................( )

3. JK-FF
a) Input asinkron.
1. Buka New projeck pada Electronick Workbench
2. Pilihlah part :
-Vcc dan Gnd yang terdapat pada Icon Sources
-JK-FF With Active Low Asynch Inputs pada Icon Digital
-Lampu test yang terdapat pada Icon Indicators.
3.Rangkailah komponen tersebut seperti gambar dibawah ini.
4.Isilah tabel berikut berdasarkan percobaan yang telah anda lakukan.

Step Cl Pr Q Q

1 0 0
2 0 1
3 1 1

Laboratorium Teknik Digital ITTelkom Surabaya


64/93 Praktikum Teknik Digital

4 0 0
5 1 0
6 1 1
7 1 0
8 0 1

b) Input sinkron.
1. Buka New projeck pada Electronick Workbench
2. Pilihlah part :
-Vcc dan Gnd yang terdapat pada Icon Sources
-JK-FF With Active High Asynch Inputs pada Icon Digital
-Lampu test yang terdapat pada Icon Indicators.
3. Rangkailah komponen tersebut seperti gambar dibawah ini.
4. Isilah tabel berikut berdasarkan percobaan yang telah anda
lakukan.

Laboratorium Teknik Digital ITTelkom Surabaya


Praktikum Teknik Digital 65/93

Step Clk J K Q Q

1 1 0 0
2 1 0 1
3 1 1 0
4 1 0 0
5 1 1 1
6 1 0 0
7 1 0 1
8 1 1 1
9 1 1 0
10 1 1 1
11 1 0 0

Max 20 Point
.......................................................................................................( )

Laboratorium Teknik Digital ITTelkom Surabaya


66/93 Praktikum Teknik Digital

5. Desain Flip-flop
a) Desain D flip-flop dari R/S flip-flop
Langkah-langkah:
1. Lengkapilah tabel kebenaran berikut
Qn Qn+1 D S R
0 0 0
0 1 1
1 0 0
1 1 1

Keterangan: Bagian sebelah kiri (yang sudah terisi) adalah tabel


karakteristik D flip-flop, sedangkan bagian kanan adalah (yang
masih kosong) tabel karakteristik S dan R.
2. Minimumkan fungsi S/R menggunakan K-map
Kita perlu mengetahui fungsi S/R sebagai fungsi dari D, Q dan Q
not

3. Desainlah rancangan yang telah anda buat ke EWB kemudian


simulasikan.
4. Buatlah tabel karakteristik D flip-flop yang barusaja anda buat
dengan S/R flip-flop tadi.

Laboratorium Teknik Digital ITTelkom Surabaya


Praktikum Teknik Digital 67/93

b) Desain T flip-flop dari J/K flip-flop


Langkah-langkah:
1. Lengkapilah tabel kebenaran berikut
Qn Qn+1 T J K
0 0 0
0 1 1
1 0 1
1 1 0
Keterangan:
Bagian sebelah kiri (yang sudah terisi) adalah tabel karakteristik
T flip-flop, sedangkan bagian kanan adalah (yang masih kosong)
tabel karakteristik J dan K.
2. Minimumkan fungsi J/K menggunakan K-map
Kita perlu mengetahui fungsi J/K sebagai fungsi dari T, Q dan
Q not

3. Desainlah rancangan yang telah anda buat ke EWB kemudian


simulasikan.
4. Buatlah tabel karakteristik T flip-flop yang barusaja anda buat
dengan J/K flip-flop tadi.

Laboratorium Teknik Digital ITTelkom Surabaya


68/93 Praktikum Teknik Digital

Max 50
Point.....................................................................................................
................( )

PRAKTIKUM VIII

Laboratorium Teknik Digital ITTelkom Surabaya


Praktikum Teknik Digital 69/93

REGISTER

A. Tujuan
• Mempelajari cara kerja register.
• Mempelajari pemindahan data dari register X ke register Y.
B. Pengantar
Register dalam sistem digital digunakan untuk menyimpan data secara
sementara, untuk kemudian diproses atau diganti dengan data yang baru. Untuk
menyimpan data satu bit dibutuhkan sebuah flip-flop sehingga untuk
menyimpan data sementara yang terdiri dari beberapa bit dibutuhkan pula
beberapa flip-flop. Dengan demikian dapat dikatakan bahwa register adalah
kumpulan dari beberapa flip-flop yang mampu menyimpan data secara
sementara.
Ada dua cara untuk menyimpan dan mengambil data dari suatu register,
yaitu secara paralel dan serial. Cara paralel berarti data yang terdiri dari
beberapa bit dimasukkan , kemudian dikeluarkan dari suatu register secara
serempak. Cara serial berarti bit demi bit dari data dimasukkan, kemudian
dikeluarkan secara beruntun.
Berdasarkan atas cara operasinya ini register dibedakan menjadi empat
macam, yaitu:
1. Paralel In – Paralel Out (PIPO)
2. Serial In – Paralel Out (SIPO)
3. Paralel In – Serial Output (PISO)
4. Serisl In – Serial Output (PISCO)

Laboratorium Teknik Digital ITTelkom Surabaya


70/93 Praktikum Teknik Digital

Untuk mengetahui prinsip kerja dari register, perlu ditinjau kembali kerja dari
RS-FF dan JK-FF
Tabel Kebenaran RS-FF Tabel Kebenaran JK-FF
R S Qn+1 J K Qn+1
0 0 Qn 0 0 Qn
0 1 1 0 1 0
1 0 0 1 0 1
1 1 X 1 1 Qn not

Dalam pembentukan register akan digunakan D-FF yang bisa dibentuk dengan
RS-FF atau dengan JK-FF. Berikut ini adalah D-FF dengan Pr (Preset) dan Cl
(Clear) dari JK-FF.

Gb D-FF Dengan Pr dan Cl


Dari JK-FF

Sifat dari D-FF adalah “Harga output akan mengikuti harga input setelah
adanya pulsa clock”. Perubahan output semacam ini disebut perubahan output

Laboratorium Teknik Digital ITTelkom Surabaya


Praktikum Teknik Digital 71/93

secara sinkron. Perubahan output cara tak sinkron dapat dikerjakan lewat input
preset dan clear.
1. Paralel In – Paralel Output
Dengan menggunaka empat buah flip-flop jenis JK-FF dan beberapa gate
logika maka terbentuklah register 4 bit Paralel In – Paralel Out. Setiap JK-
FF berfungsi sebagai D-FF sehingga kalau data 4 bit dimasukkan secara
bersama – sama pada input A,B,C dan D, pada saat pulsa clock naik maka
kondisi output Qa,Qb,Qc dan Qd akan sama dengan A,B,C dan D. Data
output tersebut dapat dikeluarkan dengan cara mengatur Output Enable
sama dengan 1. Perhatikan gambar rangkaian dibawah ini.

Gb Paralel In Paralel Output Register

2. Serial In – Paralel Output

Laboratorium Teknik Digital ITTelkom Surabaya


72/93 Praktikum Teknik Digital

Untuk dapat memasukkan data secara serial, maka output dari flip-flop
yang satu dihubungkan ke input flip-flop berikutnya. Untuk lebih jelasnya
dapat dilihat gambar dibawah ini.

Gb Serial In Paralel Output Register

3. Paralel In – Serial Output


Untuk mengeluarkan data secara serial maka output dari JK-FF yang satu
dimasukkan pada input dari flip-flop berikutnya. Dengan demikian
masukan data secara paralel tidak dapat dilakukan melalui input D, tetapi
dilakukan lewat Preset dan Clear. Agar JK-FF dapat bekerja sebagai mana
mestinya input Pr dan Cl harus sama dengan satu. Bila reset diatur sama
dengan satu dan Input Enable IE sama dengan nol maka Pr dan Cl akan
sama dengan satu sehingga masing-masing FF akan bekerja sebagai D-FF.
Untuk lebih jelasnya dapat dilihat gambar rangkaian berikut ini.

Laboratorium Teknik Digital ITTelkom Surabaya


Praktikum Teknik Digital 73/93

Gb Paralel In Serial Output Register

4. Serial In – Serial Output


Pada serial In – Serial Output, data 4 bit dimasukkan secara serial melalui
A misalnya 1010, setelah pulsa clock ke-empat dan output enable dibuat
tinggi maka output dari masing – masing flip-flop A,B,C dan D akan sama
dengan input 4 bit yang tadi dimasukkan yaitu 1010. Untuk lebih jelasnya
dapat dilihat gambar rangkaian berikut ini.

Gb Serial In Serial Output Register

Laboratorium Teknik Digital ITTelkom Surabaya


74/93 Praktikum Teknik Digital

Clock DA A B C D
0 X 0 0 0 0
1 1 1 0 0 0
1 0 0 1 0 0
1 1 1 0 1 0
1 1 1 1 0 1

C. Dasar

1. Tabel karakteristik dan Exitasi JK Flip-Flop dan D Flip-Flop.


2. Cara kerja register.
D. Percobaan
1. Susun rangkaian register (PIPO) dibawah ini :

Laboratorium Teknik Digital ITTelkom Surabaya


Praktikum Teknik Digital 75/93

1. Atur Clock (space) dan Output enanble (Z) pada kondisi rendah,
semntara power sudah dihidupkan
2. Masukkan data 1110
3. Naikkan clock menjadi logika satu dalam waktu sesaat kemudian
kembali ke 0, hal ini berarti data sudah masuk dan disimpan oleh
flip-flop.
4. Untuk mengeluarkan data naikkan Output Enable menjadi logika
satu.
5. Catat hasil percobaan anda untuk input yang lain kedalam tabel.

No Input QA QB QC QD
1 1101
2 0110
3 1011
4 0011
5 1100
6 0010
7 1001
8 0111

Max 25 Point.
.........................................................................................................( )

2. Susun rangkaian register (SIPO) dibawah ini :

Laboratorium Teknik Digital ITTelkom Surabaya


76/93 Praktikum Teknik Digital

1. Atur Output enable (space) dan Clock (C) pada kondisi rendah.
2. Masukkan input data 1110 secara serial melalui (A), adapun caranya
adalah: Masukkan bit ke 1 setelah itu masukkan pulsa clock,
begitupula untuk data bit Ke-2 dan seterusnya.
3. Untuk menampilkan output naikkan output enable menjadi logika 1.
4. Catat hasil percobaan anda untuk input yang laian kedalam tabel.
No Input Pada Clock QA QB QC QD
1 2 3 4
1 1 1 0 1
2 0 1 1 0
3 1 0 1 1
4 0 0 1 1
5 1 1 0 0
6 0 0 1 0
7 1 0 0 1
8 0 1 1 1
Max 25 Point

Laboratorium Teknik Digital ITTelkom Surabaya


Praktikum Teknik Digital 77/93

...................................................................................................( )

3. Susun rangkaian register (PISO) dibawah ini :

1. Hidupkan tombol power, atur input enable (E) dan clock (Space)
sama dengan nol, sedangkan reset (R) sama dengan satu.
2. Untuk mereset semua flip-flop, ubahlah kondisi reset untuk sesaat
menjadi nol.
3. Masukkan input A,B,C dan D misalkan 1101. Untuk memasukkan
memasukkan data secara paralel ubahlah input enable sesaat
menjadi satu.
4. Jalankan pulsa clock, sehingga output akan keluar secara serila
melalui Q0 dengan data 1101 sesuai dengan inputan.
5. Catat hasil percobaan anda untuk input yang laian kedalam tabel.

No A B C D Q0 pada Clock Ke

Laboratorium Teknik Digital ITTelkom Surabaya


78/93 Praktikum Teknik Digital

0 1 2 3

1 1 1 0 1
2 0 1 1 0
3 1 0 1 1
4 0 0 1 1
5 1 1 0 0
6 0 0 1 0
7 1 0 0 1
8 0 1 1 1

Max 25 Point
.......................................................................................................( )

4. Susun rangkaian register (SISO) dibawah ini :

Laboratorium Teknik Digital ITTelkom Surabaya


Praktikum Teknik Digital 79/93

1. Nyalakan tombol power, pastikan bahwa output enable (E) dan


clock (C) pada logika 0.
2. Masukkan data input secara serial melalui saklar (I), misalkan
datanya adalah 1101. Adapun cara memasukkannya adalah:
masukkan data bit Ke-1 kemudian aktifkan pulsa clock dan begitu
seterusnya sampai data bit ke-4. Setelah clock ke 4 dan output
enable dibuat tinggi maka output dari flip-flop QA,QB,QC dan QD
akan sama dengan 1101.

Data ini akan dikeluarkan secara serial melalui output QD setelah tiga
pulsa clock dimasukkan.

No A B C D Q0 pada Clock Ke
0 1 2 3
1 1 1 0 1 1 0 1 1
2 0 1 1 0
3 1 0 1 1
4 0 0 1 1
5 1 1 0 0
6 0 0 1 0
7 1 0 0 1
8 0 1 1 1

Max 25 Point
.......................................................................................................( )

Laboratorium Teknik Digital ITTelkom Surabaya


80/93 Praktikum Teknik Digital

PRAKTIKUM IX
SYNCHRONOUS COUNTER DAN DIVIDER

A. TUJUAN
• Mempelajari sifat-sifat rangkaian synchronous counter dan divider.
• Mempelajari cara membuat counter dengan IC Flip-Flop dan IC Counter.

B. PENGANTAR
Synchronous Counter adalah suatu rangkaian yang mempunyai fungsi
menghitung secara berurutan (urutannya tertentu) baik dari angka kecil ke
besar maupun sebaliknya, dimana perubahan output secara sinkron/serempak
diperoleh setelah satu clock (semua clock input diperoleh dari satu sumber
pulsa input). Pada prinsipnya counter dan timer adalah rangkaian yang sama,
tetapi berbeda penggunaanya. Rangkaian tersebut biasanya digunakan sebagai
counter apabila kode-kode output digunakan sebagai informasi yang penting
(misalnya banyaknya sesuatu), sedangkan timer digunakan apabila lama waktu
yang dibutuhkan rangkaian tersebut untuk mencapai kode tertentu (dalam hal
ini adalah waktu). Karena prinsip kerja kedua rangkaian ini adalah sama, maka
kita akan melakukan pembahasan dari sudut pandang counter.
Counter banyak digunakan untuk beberapa fungsi antaralain:
1. Untuk menghasilkan output berupa kode yang memenuhi urutan
tertentu.
2. Untuk menghitung sejumlah pulsa dan mempresentasikannya dalam
kode biner tertentu.
Laboratorium Teknik Digital ITTelkom Surabaya
Praktikum Teknik Digital 81/93

3. Berfungsi sebagai delay element (penunda) atau timer


Counter dapat juga dipergunakan sebagai divider. Karena itu yang
dimaksud dengan Divider/scaler/modulo counter dalam dunia digital
adalah yang berfungsi sebagai pembagi frekuensi.

DIVIDER f1
f1 f0 =
X X

Karena rangkaian Divider juga merupakan rangkaian Counter, maka


perencanaannya juga sama dengan perencanaan rangkaian Counter.

Sebagai rangkaian sekuensial lainnya, counter juga dibangun dari


komponen flip-flop dan rangkaian kombinasi. Output counter dapat diambil
dari output flip-flop, namun juga tidak jarang dihasilkan dari rangkaian output
secara khusus. Hal ini sangat bergantung pada proses desain yang dilakukan.

Gb Block diagram counter

Laboratorium Teknik Digital ITTelkom Surabaya


82/93 Praktikum Teknik Digital

Input clock merupakan input sinyal sinkronisasi, pemicu terjadinya


perubahan state, dari present state menjadi next state. Sedangkan input clear
digunakan untuk mereset output counter kembali ke besaran 0.
Untuk merencanakan suatu rangkaian synchronous counter yang
urutannya sudah tertentu dengan menggunakan J-K Flip-Flop, cara yang paling
mudah adalah menggunakan tabel transisi di bawah ini :

Qn Qn+1 J K

0 0 0 x

0 1 1 x

1 1 x 0

1 0 x 1

Berikut ini adalah contoh perencanaan rangkaian pembagi 6 (Modulo-6


Counter) Synchronous. Disebut pembagi-6 karena dalam satu cycle output ada
6 cycle input. Ini dipenuhi oleh rangkaian counter 3 bit. Urutan hitungan
rangkaian Modulo-6 Counter adalah sebagai berikut :

Pencah Bineri Mod 6 A B C

Laboratorium Teknik Digital ITTelkom Surabaya


Praktikum Teknik Digital 83/93

Ke Qa Qb Qc Ja Ka Jb Kb Jc Kc
0 0 0 0 0 X 0 X 1 X
1 0 0 1 0 X 1 X X 1
2 0 1 0 0 X X 0 1 X
3 0 1 1 1 X X 1 X 1
4 1 0 0 X 0 0 X 1 X
5 1 0 1 X 1 0 X X 1
6 0 0 0
Dst dst
Dengan melihat tabel transisi (exitasi) dari J/K flip-flop kita dapat
mengisi tabel kebenaran untuk membuat counter Modulo 6 diatas. Adapun cara
nya adalah kita lihat tabel Qa (ikuti arah anak panah), angaplah Qa ke 0 adalah
Qn dan Qa ke 1 Adalah Qn+1, kemudian lihat tabel exitasinya ( Qn = 0 Qn+1
= 0 maka tabel exitasin J/K flip-flopnya adalah 0 X ) kemudian masukkanlah
hasil exitasi J/K flip-flop tersebut kedalam Kolom A. Begitu pula langkah
selanjutnya Qa ke 1 adalah Qn, sedangkan Qa ke 2 adalah Qn+1 dan seterusnya
sampai ketiga kolom A,B dan C terisi.

Untuk menyederhanakan fungsi J dan K sebagai fungsi Qa,Qb dan Qc


maka digunakanlah K-Map. Adapun K-map dari tabel diatas adalah:

Laboratorium Teknik Digital ITTelkom Surabaya


84/93 Praktikum Teknik Digital

Hasil dari penyederhanaan tersebut kemudian diimplementasikan


dengan membuat rangkaian pada software EWB, adapun hasilnya adalah
sebagai berikut:

Laboratorium Teknik Digital ITTelkom Surabaya


Praktikum Teknik Digital 85/93

Gb Rangkaian Modulo Enam

C. DASAR
1. Dasar operasi logika dan K-Map.
2. Pengertian dan cara kerja JK-FF.

D. PERCOBAAN
1. Rancanglah rangkaian Synchronous Binary Counter Modulo 8 dengan JK-
FF.
Adapun tahapannya adalah:
- Lengkapilah tabel kebenaran berikut ini:

Laboratorium Teknik Digital ITTelkom Surabaya


86/93 Praktikum Teknik Digital

Pencah Bineri Mod 8 A B C


Ke
Qa Qb Qc Ja Ka Jb Kb Jc Kc
0 0 0 0 0 X 0 X 1 X
1 0 0 1
2
3
4
5
6
7 1 1 1
8 0 0 0
dst dst

- Sederhanakanlah fungsi-fungsi dari tabel kebenaran yang telah anda


buat dengan K-Map
- Simulasikan hasil rancangan anda pada program EWB
Max 20 Point
...................................................................................................( )

2. Rangkailah 4 bit counter pada program EWB


Rangkaian berupa:
1. Signal Clock

Laboratorium Teknik Digital ITTelkom Surabaya


Praktikum Teknik Digital 87/93

2. Counter
3. Buffer I/ O
4. Simulasikan Rangkaian anda pada I/O Module
Max 40 Point
...................................................................................................( )
3. Lengkapi rangkaian anda pada soal no 2 dengan 7 segment driver
Max 40 Point
....................................................................................................( )

Laboratorium Teknik Digital ITTelkom Surabaya


88/93 Praktikum Teknik Digital

PRAKTIKUM X
MODEL MEALY DAN MOORE

A. TUJUAN
• Dapat mengekspresikan system skuensial kedalam model Mealy dan
Moore.
• Dapat mendesain rangkaian sekuensial sinkron.
B. PENGANTAR
Sistem sekuensial dapat diekspresikan dalam dua macam model, yaitu
model Mealy dan model Moore.
Sistem sekuensial Mealy merupakan jenis sekuensial yang populer. Pada
model ini rangkaian output merupakan fungsi sinyal input dan output
elemen memori. Jika system ini digambarkan dalam bentuk state diagram
maka sinyal output berubah sejalan dengan transisi (perpindahan) dari
present state ke next state. Sebagai contoh dapat dilihat pada diagram state
dibawah ini.

Laboratorium Teknik Digital ITTelkom Surabaya


Praktikum Teknik Digital 89/93

Gb State diagram model Mealy

Dari gambar diatas dapat dilihat bahwa: state A akan berpindah ke state B
jika mendapat input 1, dan pada transisi dari state A ke B itulah
menghasilkan output 0. Begitu seterusnya dari state B ke state C akan
menghasilkan output 0. Output 1 akan didapat pada saat terjadi transisi
state B ke state C, saat input berlogika 1.
Siatem skuensial berikutnya adalah sistem skuensial model Moore.
Sistem skuensial model Moore dalam bentuk state diagramnya sedikit
berbeda dengan system skuensial model Mealy. Output merupakan bagian
dari state, tidak terjadi pada saat transisi state. Jika sebuah state berubah
dari present state menjadi next state, maka output akan muncul menyertai
next state tersebut. Untuk lebih jelasnya dapat dilihat gambar dibawah ini:

Gb State Diagram Model Moore

Laboratorium Teknik Digital ITTelkom Surabaya


90/93 Praktikum Teknik Digital

Selama berada pada state A akan menghasilkan output 0, begitu pula pada
state B juga akan menghasilkan output 0. setelah mendapatkan input 1,
maka akan berpindah ke state C dan akan menghasilkan output 1.
Tahapan selanjutnya dalam perancangan system skuensial adalah:
1. Menentukan spesifikasi I/O serta menggambarkan state diagram
sistem sekuensial yang dimaksud.
2. Memberi kode biner untuk semua state yang telah dipakai pada
langkah nomor 1.
3. Merumuskan diagram keadaan tersebut kedalam sebuah state tabel
berikut tabel eksitasinya.
4. Minimumkan fungsi eksitasi dan output system sekuensial pada
state tabel.
5. Merealisasikan fungsi-fungsi tersebut menggunakan flip-flop dan
gerbang logika yang sesuai.

C. DASAR
1. K-map
2. Tabel Exitasi
3. State Tabel

Laboratorium Teknik Digital ITTelkom Surabaya


Praktikum Teknik Digital 91/93

D. PERCOBAAN
1. Dibawah ini terdapat gambar state diagram model mealy, dengan
inputan Clk (Clock).
State-state tersebut kitalabeli dengan kode biner sebagai berikut:
A = 00

B = 01

C = 10

D = 11

Rencananya rangkaian ini akan dibangun dengan flip-flop D dan J/K.

Gb state diagram model Mealy


Tugas anda adalah:
Laboratorium Teknik Digital ITTelkom Surabaya
92/93 Praktikum Teknik Digital

1. Lengkapilah tabel kebenaran berikut ini dan sederhanakanlah


dengan K-map:

Present Input Next Output Eksitasi


State Clock State
Y1 Y0 Y1 Y0 Z1 Z0 D1 J0 K0
A 0 0 0
0 0 1
B 0 1 0
0 1 1
C 1 0 0
1 0 1
D 1 1 0
1 1 1

2. Realisasikan hasil penyederhanaan yang telah anda buat dengan


membuat rangkaian logikanya
Max 40 Point
…………....................……………………………………..( )
2. Diketahui suatu state diagram seperti dibawah ini:

Anda diminta untuk:

Laboratorium Teknik Digital ITTelkom Surabaya


Praktikum Teknik Digital 93/93

1. Mendesain rangkaiannya dengan flip-flop T dan D.


2. Membuat rangkaian logikanya
Max 60 Point
….........................……………………………………………..( )

Laboratorium Teknik Digital ITTelkom Surabaya

Anda mungkin juga menyukai