Anda di halaman 1dari 13

JOB 2

PRAKTIKUM ELEKTRONIKA DIGITAL


2 DECODER [ PENERJEMAH SANDI ]

DISUSUN OLEH:

NAMA : GITA AYU JULIANTIKA


KELAS : 3EA
KELOMPOK 4
PARTNER : DESVITA ANGGELIKA
DOSEN PENGAMPU : SABILAL RASYAD, S.T.,M. Kom.

JURUSAN TEKNIK ELEKTRO


PROGRAM STUDI D3 TEKNIK ELEKTRONIKA
POLITEKNIK NEGERI
SRIWIJAYA 2023
DAFTAR ISI

BAB I...................................................................................................................................3
PENDAHULUAN..................................................................................................................3
I. PENDAHULUAN............................................................................................................3
II. TEORI DASAR..............................................................................................................7
III. PERALATAN DAN KOMPONEN...................................................................................8
IV. PROSEDUR PRAKTIKUM.............................................................................................8
V. GAMBAR RANGKAIAN................................................................................................9
VI. TABEL KEBENARAN.....................................................................................................9
VII. PENGUKURAN DAN PERHITUNGAN..................................................................10
VIII. ANALISA.................................................................................................................11
IX. KESIMPULAN............................................................................................................11
X. LAMPIRAN................................................................................................................12
BAB I

PENDAHULUA

I. PENDAHULUAN
Decoder adalah penterjemah data biner dari bentuk kode (sandi) menjadi
suatu bentuk kode(sandi) lain. Sebuah decoder BCD ke Desimal menerima
informasi kode – kode BCD (Binary Code Decimal) melalui masukannya dan
mengubahnya ke dalam bentuk kode -kode desimal. Jenis decoder ini lebih dikenal
sebagai decoder 4 saluran input ke 10 saluran output . IC decoder 7442 dalam hal
ini dapat menjelaskan penterjemahan kode (sandi) tersebut. Pada dasarnya decoder
jenis ini dapat mendrive sebuah tampilan numerik. Saat ini decoder dapat
digunakan sebagai penggerak sistem, seperti : pada sistem robotik.

Prinsip kerja decoder sangat penting untuk diketahui didalam sistem


digital. Namun demikian, perlu diingat bahwa IC decoder bekerja berdasarkan
prinsip kerja gerbang – gerbang dasar logika di dalam rangkaian kombinasi logika.
0
(1) A 1
2
(2) C 3
Input BCD 4
5 Output
(4) B
6 Desima
(8) A 7 l
8
9

Gambar 1.1. Diagram Blok decoder BCD ke desimal IC 7442.


Sebuah decoder/driver BCD ke seven segment (7S) adalah sebuah decoder tipe
khusus. Alat ini merupakan sekelompok kerja rangkaian logika yang mengikuti
angka BCD untuk menghidupkan segmen elektronik pada keluaran berdasarkan
digit (angka) desimal 0 sampai 9. Gambar 1.1. (a) memperlihatkan hasil
pembacaan untuk mengaktifkan ke tujuh segmen sebagai gambaran angka 0
sampai 9 tersebut.
Segmen – segmen ini, yang terbuat dari perangkat yang menghasilkan sinar
seperti lampu pijar, Light Emitting diodes (LEDs), atauLiquid Crystal Diodes
(LCDs), disusun sesuai dengan urutan segmen mulai dari a sampai g. Apabila
semua segmen menyala pada saat yang sama, maka pada 7S akan menampilkan
angka 8. Angka 7 akan nyala saat segmen a, b dan c saja nyala. Gambar 10.16(b)
menunjukkan pemilihan nyala segmen sebagai angka 0 sampai 9. Tampilan digital
ini misalnya dipakai untuk jam digital, thermometer dan kalkulator.
Forward bias terjadi pada led karena katoda led rendah dan anodanya tinggi seperti
yang ditunjukkan pada gambar 10.18. Resistor pembatas arus diletakkan antara
katoda pin dan ground supaya mendapat Low.

+5 V

a C A

R
limit
= 330

Gambar 1.2. Illuminating segment

IC7447, Decoder/Driver BCD ke Seven Segment

Decoder BCD ke 7S yang paling populer adalah IC 7447. IC ini

mempunyai 4 bit masukan aktif tinggi dan 7 keluaran aktif rendah. Diagram blok

IC ini seperti pada gambar 10.19. Untuk pengujian bisa di tes ke modul tampilan

LED dengan

7447 sebagai driver(penggerak)nya dengan memberikan masukan Tinggi ke pin

BI / RBO dan masukan Rendah ke pin LT (Lamp Test). Pengujian ini


menyebabkan semua Segment LED Hidup semua.
+5 V
Vcc
A a
B
bcd
BCD ef
input g
C seven -
D segment
outputs
LT
BI / RBO RBI

GND

Gambar 1.3. Diagram Blok untuk BCD 7447 ke seven segment decoder/driver

Pin RBI (ripple blanking input) mampu mengatur intensitas sinar segment
ketika menggunakan gelombang kotak. Gelombang kotak simetris seperti pada
gambar 10.20(d), akan menyebabkan LED setiap segment menjadi 50% mati saat
itu. Sebuah gelombang kotak non-simetris seperti gambar 10.20(e) akan
menyebabkan LED – LED tersebut lebih terang sebab waktu matinya hanya 10%.
Diagram pin, diagram skema rangkaian logika dan tabel kebenaran IC7447 dapat
dilihat pada gambar 10.20.
13
out

input 7
A
IN - 1 16 Vcc=
B +5V
PUTS
2 15
C
12 out
3 14 1 input
LT B
4 13
BI/ RBO out
5 12
puts
RBI 2
input
D6 11 C 11
IN - out
PUTS
A7 10

GN 8 9
D
6
input
D
( a ) Diagram Pin 10
out

IR/ RBO 9 out


Blanking
4
input OR
Ripple-Blanking
Output

15 out

LT
Lamp - Test 3
Input
RBI
Ripple - Blanking 5 14
out
Input

( b ) Diagram Logika
OR BI / RBO NOT
FUNCTION LT RBI D C B A a b c d e f g
0 H X L L L L H ON ON ON ON ON ON OFF
1 H X L L L H H OFF ON ON OFF OFF OFF OFF
2 H X L L H L H ON ON OFF ON ON OFF ON
3 H X L L H H H ON ON ON ON OFF OFF ON
4 H X L H L L H OFF ON ON OFF OFF ON ON
5 H X L H L H H ON OFF ON ON OFF ON ON
6 H X L H H L H OFF OFF ON ON ON ON ON
7 H X L H H H H ON ON OFF OFF
ON OFF OFF 1
8 H X H L H L ON ON ON ON ON ON
H ON
H X H L L H H ON
9 ON ON OFF OFF ON ON
H X H L H L ON
10 H OFF OFF OFF ON OFF ON
H X H L H H
11 H OFF OFF OFF ON OFF OFF ON
H H L L
12 H X H ON ON OFF OFF ON
OFF ON
H X H H L H
13 H OFF OFF OFF ON OFF ON ON
H X H H H L
14 H OFF OFF OFF ON ON ON ON
H H H H H
15 H OFF OFF OFF OFF OFF OFF OFF
X X X X X X
X
BI L OFF OFF OFF OFF OFF OFF OFF 2
H L L L L L
RBI L OFF OFF OFF OFF OFF OFF OFF 3
LT L X X X X H ON ON ON ON ON ON ON 4

( c ) Tabel Fungsi

LEDS LEDS
ON OFF
50% 50%
(d) Gelombang Simetri persegi

90% LEDS
OFF
10%
(e) Gelombang tidak Simetri Persegi

0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15

(f) Disain Numerik dan hasil display

Gambar 1.4. Decoder/driver BCD ke seven segment IC 7447.


II. TEORI DASAR
Decoder adalah suatu rangkaian logika kombinasional yang mampu
mengubah masukan kode biner n-bit ke msaluran keluaran sedimikian rupa
sehingga setiap saluran keluaran hanya satu yang akan aktif dari beberapa
kemungkinan kombinasi masukan. Kebalikan dari decoder adalah encoder. Output
dari decoder maksimum adalah 2n. Jadi dapat dibentuk n-to-2n decoder. Beberapa
rangkaian decoder yang sering kita jumpai saat ini adalah decoder jenis 3 x 8 (3-bit
input dan 8 output line), decoder jenis 4 x 16, decoder jenis BCD to Decimal (4 bit
input dan 10 output line) dan decoder jenis BCD to 7 segmen (4-bit input dan 8
output line). Gambar 2.1 memperlihatkan diagram dari decoder dengan masukan
n=2 dan keluaran m=4 (decoder 2 ke 4).
Setiap n masukan dapat berisi logika 1 atau 0. Untuk setiap kombinasi
masukan ini hanya satu dari n keluaran yang akan aktif (berlogika 1), sedangkan
keluaran yang lain adalah berlogika 0. Beberapa decoder didesain untuk
menghasilkan keluaran low pada keadaan aktif, dimana hanya keluaran low yang
dipilih akan aktif sementara keluaran yang lain adalah berlogika 1.Salah satu jenis
IC decoder yang umum di pakai adalah 7408, karena IC ini mempunyai 4 input
biner dan 8 output line, di mana nilai output adalah 1 untuk salah satu dari ke 8
jenis kombinasi inputnya. Jika kita perhatikan, pengertian decoder sangat mirip
dengan demultiplexer dengan pengecualian yaitu decoder yang satu ini tidak
mempunyai data input. Sehingga input hanya di gunakan sebagai data control.
Pada umumnya Decoder biasanya memiliki saluran enable. Saluran enable
berfungsi untuk mengaktifkan dan menonaktifkan Decoder. Didalam Decoder
Terdapat 2 jenis pengkaktifan yaitu: aktif high dan aktif low. Pada decoder dengan
saluran enable aktif high, jika enable = 0 maka decoder off. Berarti semua saluran
output akan bernilai nol. Jika enable = 1 maka decoder on dan sesuai dengan
inputnya, saluran output yang aktif akan 1, dan yang lainnya 0. Decoder memiliki
banyak fungsi, diantaranya: Mapping Memory, Decoding Memory dan Decoding
I/O. Decoder juga dapat disusun dari gerbang-gerbang dasar logika.
Yakni NOT, AND,
OR.
III. PERALATAN DAN KOMPONEN
Powe Supply 5Vdc : 1
buah IC 7421 :3
buah
IC 7404 : 2 buah
Resistor 100 Ohm : 6 buah
Led : 6 buah
Mini Project Board :1
buah Multimeter : 1 buah
Wire Jumper : secukupnya

IV. PROSEDUR PRAKTIKUM


1. Tentukan rancangan rangkaian decoder (Penterjemah sandi) 4 saluran
input ke 10 saluran output dengan cara :
a. Buatlah tabel kebenaran fungsi input BCD terhadap fungsi output
desimalnya.
b. Buatlah K-Map dan isi berdasarkan data tabel kebenaran cara a).
Lalu tentukan Persamaan Boolean sederhana dan tersederhananya.
c. Gambarkan diagram rangkaian secara keseluruhan dari hasil cara
b) berdasarkan fungsi IC 7411.
d. Tuliskan jenis - jenis IC (TTL/CMOS) lainnya untuk decoder ini.
2. Bilangan yang diinginkan untuk masing – masing kelompok
berbeda. 3. Kelompok 4 : 0000, 0001 , 0010, 0011, 0110, 1000.
4. Laporkan hasil rancangan sementara anda.
5. Rakitlah hasil rancangan anda di mini-ProjectBoard.
6. Tampilkan kerja decoder tersebut dengan indikator led.
7. Catat hasil pengukuran. Masukkan data pengukuran ke dalam tabel 2-1.
8. Buatlah Analisa hasil kerja anda.
9. Buatlah kesimpulan dari hasil percobaan ini.
V. GAMBAR RANGKAIAN

Persamaan
Boolean: X0=A’ B’
C’ D’ X1=A B’C’D’
X2=A’B C’D’
X3=A B C’D’
X6=A’B C D’
X8=A’B’C’ D
VI.TABEL KEBENARAN

INPUT OUTPUT
D C B A X0 X1 X2 X3 X6 X8
0 0 0 0 1 0 0 0 0 0
0 0 0 1 0 1 0 0 0 0
0 0 1 0 0 0 1 0 0 0
0 0 1 1 0 0 0 1 0 0
0 1 1 0 0 0 0 0 1 0
1 0 0 0 0 0 0 0 0 1
VII. PENGUKURAN DAN PERHITUNGAN
Tabel 1.1 Data pengukuran dengan
voltmeter

INPUT OUTPUT
D C B A X0 X1 X2 X3 X6 X8
0 0 0 0 4V 0 0 0 0 0
0 0 0 1 0 4V 0 0 0 0

0 0 1 0 0 0 4V 0 0 0
0 0 1 1 0 0 0 4V 0 0
0 1 1 0 0 0 0 0 4V 0
1 0 0 0 0 0 0 0 0 4V

Tabel 1.2 Data pengukuran dalam Logika Biner


INPUT OUTPUT
D C B A X0 X1 X2 X3 X6 X8
0 0 0 0 1 0 0 0 0 0
0 0 0 1 0 1 0 0 0 0
0 0 1 0 0 0 1 0 0 0
0 0 1 1 0 0 0 1 0 0
0 1 1 0 0 0 0 0 1 0
1 0 0 0 0 0 0 0 0 1
VIII. ANALISA
....................................................................................................................................
....................................................................................................................................
....................................................................................................................................
....................................................................................................................................
....................................................................................................................................
....................................................................................................................................
....................................................................................................................................
....................................................................................................................................
....................................................................................................................................
....................................................................................................................................
....................................................................................................................................
....................................................................................................................................
....................................................................................................................................
IX. KESIMPULAN
....................................................................................................................................
....................................................................................................................................
....................................................................................................................................
....................................................................................................................................
....................................................................................................................................
....................................................................................................................................
....................................................................................................................................
....................................................................................................................................
....................................................................................................................................
....................................................................................................................................
....................................................................................................................................
....................................................................................................................................
....................................................................................................................................
....................................................................................................................................
X. LAMPIRAN

Anda mungkin juga menyukai