Anda di halaman 1dari 20

PENCUCIAN MOBIL OTOMATIS DENGAN MENGGUNAKAN VHDL

MAKALAH
Disusun untuk Memenuhi Salah Satu Tugas Mata Kuliah Desain Sistem Digital (VHDL)
Pada Semester Gasal Tahun 2014/2015 yang diampu Oleh Ibu Beauty Anggraheny Ikawanty

Oleh :
Kelas 3A D4
Brahma Ratih Rahayu F 1241170030
Dinda Ayu Permatasari 1241170036
Firmanda Gies Alghifari 1241170067
Pradana Perwira Putranto 1241170066

PROGRAM STUDI D-IV TEKNIK ELEKTRONIKA


JURUSAN TEKNIK ELEKTRO
POLITEKNIK NEGERI MALANG
2015
BAB I

PENDAHULUAN

1.1 Latar Belakang


Pada era saat ini perkembangan teknologi menyebabkan peningkatan kuantitas
produksi dalam dunia industri, khususnya dalam bidang otomotif. Di Indonesia, banyak
masyarakat yang telah menggunakan mobil pribadi sebagai alat transportasi. Hal ini
dikarenakan pada saat ini sudah banyak produsen yang mengeluarkan berbagai macam
varian terbaru dengan harga yang terjangkau, khususnya golongan masyarakat menengah
ke bawah.
Dengan banyaknya varian yang dikeluarkan oleh produsen otomotif, maka perlu
dilakukan perawatan mobil, dengan jumlah yang lebih banyak, agar dapat menangani
berbagai macam mobil dengan jumlah yang banyak. Salah satu perawatan mobil yang
harus sering dilakukan adalah dengan mencuci mobil. Perawatan mencuci mobil ini
dilakukan untuk membersihkan, juga mencegah timbulnya korosi akibat kotoran yang
melekat. Mencuci mobil dapat dilakukan sendiri di rumah ataupun kalau ingin lebih
cepat dapat dilakukan di tempat cuci mobil yang umumna membutuhkan tenaga dan
waktu yang cukup lama. Namun, di sisi lain masyarakat lebih menginginkan sesuatu
hyang cepat, praktis dan hasil yang memuaskan dengan harga yang relatif lebih murah.
Di beberapa kota besar, seperti Jakarta, Surabaya, Medan, dll saat ini sudah banyak
terdapat fasilitas pencucian mobil otomatis. Pencucian mobil secara otomatis ini relatif
lebih cepat dan praktis karena waktu yang dibutuhkan hanya sekitar 5-7 menit. Namun di
beberapa kota besar hanya ada beberapa tempat pencucian mobil otomatis, hal ini
disebabkan karena harga mesinnya yang mahal. Banyak faktor yang menyebabkan
mahalnya mesin pencuci mobil otomatis tersebut, di antaranya komponen dan
peralatannya masih harus didatangkan dari luar negeri. Proses perakitan dan pemasangan
masih harus dilakukan oleh teknisi khusus dari luar negeri. Selain itu komponen
pengendali mesin pencuci mobil otomnatis ini biasanya masih menggunakan
Programmable Logic Controller yang relatif mahal.
Sehingga, akan dibuat alternatif lain, yaitu pembuatan mesin pencuci mobil otomatis
menggunakan VHDL (Very High Description Language).
1.2 Tujuan
Tujuan dari makalah ini adalah :
1. Membuat desain alat pencuci mobil otomatis menggunakan VHDL.
2. Membuktikan bahwa VHDL dapat mengendalikan mesin pencuci mobil otomatis.
3. Membuat desain alat pencuci mobil otomatis yang bertujuan untuk mempermudah
proses pencucian dan mempersingkat waktu pencucian.

1.3 Manfaat
Manfaat dari makalah ini adalah :
1. VHDL dapat digunakan untuk mengendalikan suatu aplikasi pada mesin pencuci
mobil otomatis.
2. Dapat dengan cepat dan mudah dalam melakukan pencucian mobil.

1.4 Batasan Masalah

Batasan masalah dari makalah ini adalah :

1. Perancangan VHDL sebagai pengendali mesin pencuci mobil otomatis (Automatic


Car Washing Machine).
2. Membuktikan bahwa VHDL dapat mengendalikan mesin pencuci mobil otomatis.
BAB II

TINJAUAN PUSTAKA

2.1 VHDL
VHDL( Very high speed integrated Hardware Description Language) adalah sebuah
bahasa pemrograman VHSIC (Very High Speed Integrated Circuit) yang dikembangkan
oleh IEEE (Institute of Electrical and Electronic Engineering).
VHDL biasanya digunakan untuk menulis model teks yang menggambarkan rangkaian
logika. Seperti model diproses oleh program sintesis, hanya jika itu adalah bagian dari
desain logika. Sebuah program simulasi digunakan untuk menguji desain logika dengan
menggunakan model simulasi untuk mewakili sirkuit logika yang antarmuka ke desain.
Koleksi model simulasi ini biasanya disebut test bench.
VHDL memiliki input file dan kemampuan keluaran, dan dapat digunakan sebagai
bahasa untuk keperluan umum untuk pemrosesan teks, tetapi file yang lebih sering
digunakan oleh testbench simulasi untuk data stimulus atau verifikasi. Ada beberapa
compiler VHDL yang membangun binari-binari executable. Dalam hal ini, ada
kemungkinan untuk menggunakan VHDL untuk menulistestbench untuk memverifikasi
fungsi dari desain menggunakan file pada komputer host untuk menentukan rangsangan,
untuk berinteraksi dengan pengguna, dan membandingkan hasilnya dengan yang
diharapkan. Namun, desainer yang paling meninggalkan pekerjaan ini ke simulator.
Hal ini relatif mudah bagi developer berpengalaman untuk menghasilkan kode yang
mensimulasikan berhasil tetapi itu tidak dapat disintesis menjadi perangkat yang nyata,
atau terlalu besar untuk praktis. Satu perangkap tertentu adalah produksi disengaja
transparan kait daripada D-jenis flip-flop sebagai elemen penyimpanan.
VHDL bukan bahasa case sensitive. Satu dapat desain hardware di IDE VHDL (untuk
implementasi FPGA seperti Xilinx ISE, Altera Quartus, Synopsys Synplify atau Mentor
Graphics Designer HDL) untuk menghasilkan RTL skematik dari rangkaian yang
diinginkan. Setelah itu, skema yang dihasilkan dapat diverifikasi menggunakan software
simulasi yang menunjukkan bentuk gelombang input dan output dari sirkuit setelah
menghasilkan testbench sesuai. Untuk menghasilkan testbench sesuai untuk sirkuit
tertentu atau kode VHDL, masukan harus didefinisikan dengan benar. Misalnya, untuk
input jam, proses loop atau pernyataan iterasi diperlukan.
Keuntungan utama dari VHDL bila digunakan untuk desain sistem adalah bahwa hal itu
memungkinkan perilaku sistem perlu dijelaskan (model) dan diverifikasi (simulasi)
sebelum alat sintesis menterjemahkan desain ke dalam perangkat keras yang nyata.
Manfaat lain adalah bahwa VHDL memungkinkan deskripsi sistem konkuren (banyak
bagian, masing-masing dengan perilakunya sendiri-sub, bekerja bersama-sama pada
waktu yang sama). VHDL adalah bahasa dataflow , tidak seperti bahasa komputasi
prosedural seperti BASIC, C, dan kode assembly, yang semuanya berjalan secara
berurutan, satu instruksi pada satu waktu.Titik akhir adalah bahwa ketika model VHDL
diterjemahkan ke dalam gerbang dan kawat yang dipetakan ke perangkat
programmable logic seperti CPLD atau FPGA , maka itu adalah sebenarnya perangkat
keras yang sedang diatur, daripada kode VHDL yang dieksekusi seolah-olah pada
beberapa bentuk chip prosesor.
Berikut ini adalah elemen-elemen dasar VHDL yang meliputi identifier, data
objects, data types, entity, architecture dan package.
a. Identifier
Identifier dalam VHDL terdiri dari satu atau lebih karakter yang berupa karakter
huruf, angka atau garis bawah dengan aturan berikut :
Karakter yang diperbolehkan adalah huruf besar(A..Z), huruf kecil(a..z),
huruf(0..9) dan garis bawah(_).
Karakter pertama harus berupa huruf
Karakter terakhir tidak diperbolehkan berupa garis bawah(_), penggunaan 2
karakter garis bawah(_) juga tidak diperbolehkan.
Penggunaan huruf besar dan huruf kecil dianggap sama (tidak case sensitive).
Komentar dalam VHDL dimulai dengan karakter --.
b. Data Objects
Dalam VHDL dikenal 3 obyek data yaitu : Konstanta, Variable dan Signal
Konstanta
Obyek konstanta dapat menyimpan sebuah nilai yang didefiniskan saat deklarasi
konstanta. Nilai yang sudah didefinisikan tersebut tidak dapat diubah selama
proses desain.
Deklarasi : constant identifier[,identifier..]:type[:value];
Contoh : constant bus_width: integer := 8;
Variabel
Obyek variabel dapat menyimpan sebuah nilai yang diberikan saat desain, dan
nilai tersebut dapat dirubah kapanpun saat desain.
Deklarasi : variable identifier[,identifier..]:type[:value];
Contoh : variable ctrl_bits: std_logic;
Signal
Obyek Signal bisa disamakan dengan variable, perbedaannya adalah bahwa
signal dapat menyimpan ataupun melepaskan nilai logika, sedangkan variable
tidak dapat, oleh karena itu, signal dapat diwujudkan dalam elemen memori.
Deklarasi : signal identifier[,identifier..]:type[:value];
Contoh : signal con: std_logic;
c. Data Types
Pada warp, sudah didefinisikan beberapa type data yang sering dipakai, yaitu :
integer, boolean, bit, character, string, bit_vector, std_logic dan std_logic_vector. Entity
VHDL tersusun oleh pasangan entity dan architecture-nya, entity mendefinisikan
desain I/Oatau interface-nya, sedangkan architecture menyatakan kandungan atau
kelakuan dari desain. Pasangan entity dan architecture dapat digunakan sebagai desain
yang lengkap atau dapat digunakan juga sebagai komponen. Kode program dari
deklarasai entity adalah :

Nama entity yang sudah dibuat akan dijadikan referansi untuk architecture-nya. Entity
mendeklarasikan port, port adalah obyek dari kelas signal yang didefinisikan pada
entity. Masing-masing port memiliki nama port, mode dan type. Type yang dipakai
pada port adalah in (default), out, inout dan buffer.
d. Architecture
Architecture pada suatu desain menggambarkan tentang kelakuan/proses serta
struktur dari entity. Kode program dari deklarasi architecture adalah:
e. Package
Sebuah package dapat mendeklarasikan komponen berupa pasangan entity dan
architecture, type, konstanta atau fungsi agar item-item tersebut dapat digunakan pada
desain yang lain. Package sering kali dituliskan sebelum entity dan architecture. Agar
sebuah desain dapat menggunakan package yang sudah dibuat, maka pada desain
tersebut harus memanggil package yang akan dipakai dengan menggunakan klausa
USE

2.2 Motor AC
Motor Ac adalah sebuah motor lisatrik yang digerakkan oleh alternating current atau arus
bolak balik (AC). umumnya, motor AC terdiri dari dua komponen utama yaitu stator dan
rotor. seperti yang telah dijelaskan sebelumnya pada motor DC, stator adalah bagian yang
diam dan letaknya berada di luar. stator mempunyai coil yang di aliri oleh arus listrik bolak
balik dan nantinya akan menghasilkan medan magnet yang berputar. bagian yang kedua yaitu
rotor. rotor adalah bagian yang berputar dan letaknya berada di dalam (di sebelah dalam
stator). rotor bisa bergerak karena adanya torsi yang bekerja pada poros dimana torsi tersebut
dihasilkan oleh medan magnet yang berputar.
2.2.1 Pengklasifikasian Berdasarkan Jenis Motornya
1. Motor induksi
Motor induksi merupakan motor listrik arus bolak balik (ac) yang paling luas digunakan
Penamaannya berasal dari kenyataan bahwa motor ini bekerja berdasarkan induksi medan
magnet stator ke statornya, dimana arus rotor motor ini bukan diperoleh dari sumber
tertentu,tetapi merupakan arus yang terinduksi sebagai akibat adanya perbedaan relatif antara
putaran rotor dengan medan putar (rotating magneticfield) yangdihasilkan oleh arus stator.
Motor induksi sangat banyak digunakan di dalam kehidupan sehari-hari baik di industri mau
pun dirumah tangga. Motor induksi yang umum dipakai adalah motor induksi 3-fase dan
motor induksi 1-fase. Motor induksi 3-fase dioperasikan pada sistem tenaga 3-fase dan
banyak digunakan di dalam berbagai bidang industri dengan kapasitas yang besar. Motor
induksi 1-fase dioperasikan pada sistem tenaga 1-fase dan banyak digunakan terutama untuk
peralatan rumah tangga seperti kipas angin,lemari es, pompa air,mesin cucidan sebagainya.
karena motor induksi 1-fase mempunyai daya keluaran yang rendah. Bentuk gambaran motor
induksi 3-fasa diperlihatkan pada gambar 1 ,dan contoh penerapan motor induksi ini
diindustry diperlihatkan pada gambar 2.
Gambar 2.1 Motor Induksi 3 Fasa

Kontruksi Motor Induksi


Motor induksi pada dasarnya mempunyai 3 bagian penting
Stator: Merupakan bagian yang diam dan mempunyai kumparan yang dapat
menginduksikan medan elektromagnetik kepada kumparan rotornya.
Celah : Merupakan celah udara: tempat berpindahnya energy dari stator ke rotor.
Rotor : Merupakan bagian yang bergerak akibat adanya induksi magnet dari kumparan
stator yang diinduksikan kepada rotor.

Gambar 2.2 Bentuk Konstruksi dari Motor Induksi


Gambar 2.3 Konstruksi Rotor Sangkar Motor Induksi

Prinsip Kerja Motor Induksi


Motor induksi bekerja berdasarkan induksi elektromagnetik dari kumparan stator kepada
kumparan rotornya. Bila kumparan stator motor induksi 3-fasayang dihubungkan dengan
suatu sumber tegangan 3-fasa,maka kumparan stator akan menghasilkan medan magnet
yang berputar. Garis-garis gaya fluks yang diinduksikan dari kumparan stator akan
memotong kumparan rotornya sehingga timbul emf (ggl) atau tegangan induksi. Karena
penghantar (kumparan) rotor merupakan rangkaian yang tertutup,maka akan mengalir arus
pada kumparan rotor. Penghantar (kumparan )rotor yang dialiri arus ini berada dalam garis
gaya fluks yang berasal dari kumparan stator sehingga kumparan rotor akan mengalami gaya
Lorentz yang menimbulkan torsi yang cenderung menggerakkan rotor sesuai dengan arah
pergerakan medan induksi stator.
Medan putar pada stator tersebut akan memotong konduktor-konduktor pada
rotor,sehingga terinduksi arus dan sesuai dengan Hukum Lentz, rotor pun akan turut berputar
mengikuti medan putar stator.Perbedaan putaran relatif antara stator dan rotor disebut slip.
Bertambahnya beban,akan memperbesar kopel motor yang oleh karenanya akan
memperbesar pula arus induksi pada rotor, sehingga slip antara medan putar stator dan
putaran rotor pun akan bertambah besar. Jadi, Bila beban motor bertambah,putaran rotor
cenderung menurun.
Pada rangka stator terdapat kumparan stator yang ditempatkan pada slot- slotnya yang
dililitkan pada sejumlah kutup tertentu.Jumlah kutup ini menentukan kecepatan berputarnya
medan stator yang terjadi yang diinduksikan ke rotornya. Makin besar jumlah kutup akan
mengakibatkan makin kecilnya kecepatan putar medan stator dan sebaliknya.
2.2.2 Pengklasifikasian dari Segi Hubungan Putaran dan Frekuensi Fluks Magnet.
1) Motor Sinkron (motor serempak)
Disebut sebagai motor sinkron karena putaran motor sama dengan putaran fluks magnet
stator. motor tidak dapat berputar sendiri meski lilitan stator telah dihubungkan dengan
tegangan luar.
2) Motor Asinkron (motor tak serempak)
Disebut sebagai motor asinkron karena putaran rotor tidak sama dengan putaran fluks
magnet statornya. perbedaan kecepatan inilah yang nantinya kita sebut sebagai slip.

PRINSIP KERJA MOTOR LISTRIK AC

Gambar 2.4 Komponen Motor AC


Gambar 2.5 Prinsip Kerja Motor AC

Motor arus bolak-balik (motor AC) ialah suatu mesin yang berfungsi mengubah tenaga
listrik arus bolak-balik (listrik AC) menjadi tenaga gerak atau tenaga mekanik berupa putaran
dari pada Rotor. Motor listrik arus bolak-balik dapat dibedakan atas beberapa jenis Seperti
pada motor DC pada motor AC, arus dilewatkan melalui kumparan, menghasilkan torsi pada
kumparan. Sejak saat itu bolak, motor akan berjalan lancar hanya pada frekuensi gelombang
sinus. Hal ini disebut motor sinkron. Lebih umum adalah motor induksi, dimana arus listrik
induksi dalam kumparan berputar dari pada yang diberikan kepada mereka secara langsung.
Salah satu kelemahan dari jenis motor AC adalah arustinggi yang harus mengalir melalui
kontak berputar. Memicu dan pemanasan pada kontak-kontak dapat menghabiskan energi dan
memperpendek masa pakai motor. Dalam motor AC umum medan magnet yang dihasilkan
oleh elektro magnet didukung oleh tegangan AC sama dengan kumparan motor. Kumparan
yang menghasilkan medan magnet yang kadang-kadang disebut sebagai stator, sedangkan
kumparan dan inti padat yang berputar disebut dinamo. Dalam motor AC medan magnet
sinusoidal bervariasi, seperti arus dalam kumparan bervariasi.

2.3 Sensor Optocoupler


Optocoupler adalah suatu piranti yang terdiri dari 2 bagian yaitu transmitter dan receiver,
yaitu antara bagian cahaya dengan bagian deteksi sumber cahaya terpisah. Biasanya
optocoupler digunakan sebagai saklar elektrik, yang bekerja secara otomatis.optocoupler atau
optoisolator merupakan komponen penggandeng (coupling) antara rangkaian input dengan
rangkaian output yang menggunakan media cahaya (opto) sebagai penghubung. Dengan kata
lain, tidak ada bagian yg konduktif antara kedua rangkaian tersebut. Optocoupler sendiri
terdiri dari 2 bagian, yaitu transmitter (pengirim) dan receiver (penerima).
1) Transmiter
Merupakan bagian yg terhubung dengan rangkaian input atau rangkaian kontrol. Pada
bagian ini terdapat sebuah LED infra merah (IR LED) yang berfungsi untuk mengirimkan
sinyal kepada receiver. Padatransmitter dibangun dari sebuah LED infra merah. Jika
dibandingkan dengan menggunakan LED biasa, LED infra merah memiliki ketahanan
yang lebih baik terhadap sinyal tampak. Cahaya yang dipancarkan oleh LED infra merah
tidak terlihat oleh mata telanjang.
2) Receiver
Merupakan bagian yg terhubung dengan rangkaian output atau rangkaian beban, dan
berisi komponen penerima cahaya yang dipancarkan oleh transmitter. Komponen
penerima cahaya ini dapat berupa photodioda atapun phototransistor. Pada bagian
receiver dibangun dengan dasar komponen phototransistor.

Gambar 2.6 Rangkaian Sensor Optocoupler

Prinsip kerja dari rangkaian optocoupler adalah :


1) Jika S1 terbuka maka LED akan mati, sehingga phototransistor tidak akan bekerja.
2) Jika S1 tertutup maka LED akan memancarkan cahaya,sehingga phototransistor
akanOFF.
3) Jika antara phototransistor dan LED terhalang maka phototransistor tersebut akan off
sehingga output dari kolektor akan berlogika high.
4) Sebaliknya jika antara phototransistor dan LED tidak terhalang maka phototransistor
dan LED tidak terhalang maka phototransistor tersebut akan on sehingga output-nya
akan berlogika low.
BAB III
PERANCANGAN

3.1 Mekanik

Gambar 3.1.1 Pencucian Mobil Otomatis

Gambar 3.1.2 Saat mobil terdeteksi sensor 1


Gambar 3.1.3 Saat mobil terdeteksi sensor 2, pompa air 1 menyala

Gambar 3.1.4 Saat mobil terdeteksi sensor 3, pompa sabun menyala, brush menyala
Gambar 3.1.5 Saat mobil terdeteksi sensor 4, pompa air 2 menyala

Gambar 3.1.6 Saat mobil terdeteksi sensor 5, blower menyala


3.2 Flowchart

START

Sensor 1 ON
Tidak
Ya
Motor Conveyor ON

Sensor 2 ON
Tidak
Ya
Pompa air 1 ON

Sensor 3 ON
Tidak
Ya
Pompa Air 1 OFF
Pompa Sabun ON
Brush ON

Sensor 4 ON
Tidak
Ya
Pompa Sabun OFF
Brush OFF
Pompa Air 2 ON

Sensor 5 ON
Tidak
Ya
Pompa Air 2 OFF
Blower ON

Sensor 6 ON
Tidak
Ya
Blower OFF
Motor Conveyor
OFF

STOP
3.3 Rangkaian Elektronik
Pada perancangan elektronik terdiri dari:
1) Perancangan rangkaian sensor optocoupler mobil
2) Perancangan rangkaian driver motor
3) Blok diagram
Pada perancangan sensor mobil menggunakan sensor optocoupler yang berfungsi
untuk mendeteksi adanya mobil yang melewati sensor tersebut.
Pada blok diagram di bawah ini sebagai pengendali digunakan IC GAL 22V10D,
dimana ada enam sensor sebagai input dan satu output berupa driver motor.

SENSOR OPTOCOUPLER 1

SENSOR OPTOCOUPLER 2

SENSOR OPTOCOUPLER 3
DRIVER
IC GAL22V100 MOTOR
MOTOR
SENSOR OPTOCOUPLER 4

SENSOR OPTOCOUPLER 5

SENSOR OPTOCOUPLER 6

Gambar 3.3.1 Blok Diagram Kontrol Pencucian Mobil Otomatis dengan


Menggunakan VHDL
5V

Gambar 3.3.2 Rangkaian Elektronik Pencucian Mobil Otomatis dengan


Menggunakan VHDL
BAB IV
HASIL

4.1 Program

library ieee;

use ieee.std_logic_1164.all;

entity mobil is

port( sensor1,sensor2,sensor3,sensor4,sensor5,sensor6 : in bit;

conveyor,air1,sabun,brush,air2,blower : out bit);

end mobil;

architecture cuci of mobil is

begin

conveyor<='1' when sensor1='1'

'0' when sensor6='1';

air1<='1' when sensor2='1'

'0' when sensor3='1';

sabun<='1' when sensor3='1'

'1' when sensor4='1';

brush<='1' when sensor3='1'

'0' when sensor4='1';

air2<='1' when sensor4='1'

'0' when sensor5='1';

blower<='1' when sensor5='1'

'0' when sensor6='1';

end cuci;
Program VHDL ditulis pada GALAXY yang ada pada program WARP 5.2, setelah
itu program di simulasikan dengan menggunakan ACTIVE HDL-Sim untuk mengetahui
apakah program yang dibuat telah sesuai dengan yang diinginkan, sebelum dimasukkan ke
dalam IC GAL22V10D. Alat untuk mendownload dari komputer ke IC digunakan ALL-100.
DAFTAR PUSTAKA

https://jaenal91.wordpress.com/category/optocoupler/
http://www.infoservicetv.com/prinsip-kerja-optocoupler.html
https://wandasaputra93.wordpress.com/2015/01/10/motor-ac/
http://firmansyah115.blogspot.com/2011/01/vhdl.html

Anda mungkin juga menyukai