Anda di halaman 1dari 6

DESAIN LOW PASS FILTER BUTTERWORTH DENGAN KOMPONEN AKTIF

VOLTAGE CONTROLLED VOLTAGE SOURCE


Rahmad Hidayat1, Syafruddin2, Givy Devira Ramady3
Teknik Elektro, Sekolah Tinggi Teknologi Mandala Bandung

Abstrak
Di dalam bidang pengolahan sinyal, filter berfungsi untuk menghapus bagian sinyal yang
tidak diinginkan seperti noise acak, noise dari transmisi sinyal ucapan atau untuk
mengekstrak bagian yang berguna dari suatu sinyal seperti komponen yang terletak di
dalam rentang frekuensi tertentu. Tujuan penelitian ini adalah untuk melakukan desain filter
Butterworth orde dua dengan menggunakan bantuan tool Matlab, dan kemudian
menganalisis karakteristiknya. Rangkaian voltage controlled voltage source (VCVS) telah
digunakan sebagai pembentuk filter Butterworth orde-2, yang disimulasikan untuk
menampilkan karakter utama low pass filter. Hasilnya menunjukkan bahwa dengan frekuensi
cut-off yang diambil sebesar 0,5 kHz diperoleh karakter filter lolos rendah yang
magnitudenya relatif datar pada gain VCVS yang diinginkan. .

Kata Kunci: low pass filter, Butterworth, voltage controlled voltage source, Matlab

Abstract
In the field of signal processing, filters function to remove unwanted parts of the signal such
as random noise, noise from speech signal transmission or to extract useful parts of a signal
such as components located within a certain frequency range. The purpose of this study was
to design a second-order Butterworth filter using the Matlab tool and then analyze its
characteristics. A series of the voltage-controlled voltage source (VCVS) has been used to
form the second-order Butterworth filter, which is simulated to show the main character of
the low pass filter. The results show that with a cut-off frequency of 0.5 kHz, a low pass filter
character is obtained with a relatively flat magnitude at the desired VCVS gain.

Keywords: low pass filter, Butterworth, voltage controlled voltage source, Matlab

1. PENDAHULUAN pemrosesan sinyal biomedis berupa


Filter merupakan rangkaian elektronik EKG, EEG, penyaringan sinyal EMG,
yang berfungsi untuk mengolah analisis rangkaian listrik, analisis
frekuensi dari suatu sinyal, frekuensi ekonomi dan data keuangan [1][2][3].
sinyal tersebut akan diloloskan atau Sementara itu, dalam suatu sistem
diredam sesuai kebutuhan. komunikasi penggunaan rangkaian
Berdasarkan sifat ini, filter dibedakan filter sangatlah penting. Suatu cara
menjadi empat macam, yaitu low pass untuk memudahkan dalam
filter (LPF), high pass filter (HPF), perancangan sebuah filter
band pass filter (BPF), dan band stop dilakukanlah teknik simulasi dan salah
filter (BSF). Filter digunakan secara satu jenis filter yang banyak digunakan
luas dalam pemrosesan sinyal dan adalah filter Butterworth.[4] Filter
sistem komunikasi dalam aplikasi Butterworth ini termasuk dipakai
seperti ekualisasi saluran, sebagai prototipe filter digital infinite
pengurangan noise, radar, impulse respon (IIR). Tujuan
pemrosesan audio, pemrosesan sinyal penelitian ini adalah untuk melakukan
ucapan, pemrosesan video, desain filter Butterworth orde dua

ISU TEKNOLOGI STT MANDALA VOL.15 NO.2 DESEMBER 2020 p-ISSN 1979-4819 e-ISSN 2599-1930 31
dengan menggunakan tool bantuan passband dan menggelinding ke arah
Matlab, dan kemudian menganalisis nol di stopband . Ketika diamati pada
karakteristiknya baik harga komponen plot pertanda logaritmik responnya
pembentuk sirkit rangkaian, karakter miring secara linier menuju tak
respon frekuensi, repon magnitude, terhingga negatif. Urutan pertama
serta plotting Bode yang dihasilkan. respons filter berputar pada −6 dB per
Dan urgensi dari penelitian ini adalah oktaf (−20 dB per dekade). Respons
dalam hal memberikan alternatif filter orde-2 kedua keluar pada −12 dB
desain filter low pass bagi para per oktaf dan urutan ketiga pada −18
mahasiswa khususnya. Hipotesis dari dB. Filter Butterworth memiliki fungsi
penelitian ini adalah bahwa berbagai besaran yang bervariasi secara
parameter yang ada bisa dipilih (baik monoton dengan ω, tidak seperti jenis
dari harga komponen yang diambil, filter lain yang memiliki riak non-
maupun parameter filter LPF monoton di dalam passband dan
Butterworth) untuk mencari harga stopband.[1]
optimal sehingga dapat diperoleh
karakteristik filter yang diinginkan. 1.2 Penelitian Terkait
Dalam tulisan [1], tiga jenis respon
1.1 Filter Butterworth impuls tak terbatas filter Butterworth,
Filter Butterworth memiliki respon Chebyshev tipe I dan filter Eliptics
datar maksimal yaitu, tidak ada riak telah dibahas secara teoritis dan
passband dan roll-off minus 20db per secara eksperimental. Butterworth,
pole. Filter ini memiliki “besaran Chebyshev tipe I dan Eliptics low pass,
maksimum rata” pada frekuensi jω = 0, high pass, band pass dan band stop
sebagai turunan 2N-1 pertama dari filter telah dirancang dalam makalah
fungsi transfer saat jω= 0 sama ini dengan menggunakan software
dengan nol. Respons fase dari filter Matlab. Respon impuls, respon
Butterworth menjadi lebih non-linear magnitudo, respon fase filter
dengan meningkatnya N. Filter ini Butterworth, Chebyshev tipe-1 dan
sepenuhnya ditentukan secara filter Elliptical untuk pemfilteran sinyal
matematis oleh dua parameter yaitu ucapan diamati dalam makalah ini,
frekuensi dan jumlah pole. Besarnya juga laju pengambilan sampel dan
respon kuadrat dari umpan rendah respons spektrumnya dibahas.
filter Butterworth diberikan oleh : Dibandingkan dengan filter lain seperti
Chebyshev tipe-1 atau filter Elliptic,
1 filter Butterworth memiliki roll-off yang
𝐻(𝑗𝜔) = …… (1)
1+ [𝜔/𝜔𝑐 ]2𝑁 lebih lambat dan karena itu
membutuhkan urutan yang lebih tinggi
Besarnya selektivitas filter Butter-worth untuk menerapkan stopband tertentu.
ditentukan dengan : Filter Butterworth memiliki respon fase
yang lebih linier di pass-band dari filter
𝑁
𝐹𝑠 = 2√2 𝜔 …………… (2) Chebyshev dan Elliptic. Filter
𝑐
Butterworth berputar lebih lambat di
sekitar potongan frekuensi daripada
Dan besarnya redaman filter ini
filter Chebyshev dan Elliptic tanpa
ditentukan oleh persamaan :
riak. Semua filter yang diamati ada di
orde kelima. [1]
A = 10 log (1 + [𝜔⁄𝜔𝑐 ].2𝑁 ) … (3)
Respon frekuensi filter Butterworth Sementara itu, penelitian [5] bertujuan
secara maksimal mendatar di untuk merancang simulasi guna

ISU TEKNOLOGI STT MANDALA VOL.15 NO.2 DESEMBER 2020 p-ISSN 1979-4819 e-ISSN 2599-1930 32
menghasilkan respon ouput filter jenis IIR, kemudian dilakukan simulasi hasil
Chebyshev serta menghasilkan nilai perhitungan desain menggunakan
komponen induktor (L) dan kapasitor Matlab dan akhirnya desain
(C) yang dibutuhkan untuk rangkaian diimplementasikan menggunakan
filter. Simulasi yang dirancang pada FPGA [8]. Sementara itu terdapat
penelitian tersebut menggunakan penelitian lain misal tentang stetoskop
Graphical User Interface (GUI). elektronik dilakukan yang salah satu
Hasilnya, didapatkan respon filternya menggunakan jenis
Chebyshev pada filter lolos rendah Butterworth. Dan [9] mengaitkan
dan filter lolos tinggi. Atau contoh lain penelitian filternya dengan teorema
berupa simulasi filter IIR Nyquist.
menggunakan GUI. Metode ini tidak
hanya tergantung pada kode matlab,
tetapi juga menampilkan control 2. METODE PENELITIAN
penggunaan yang dibangun Dalam teknologi masa kini, sebuah
berdasarkan GUI, sehingga semua sistem terbentuk dari beberapa
operasi pemfilteran dapat diselesaikan subsistem. Salah satu bagian
oleh GUI. [6] subsistem yang sangat penting adalah
filter. Filter didefinisikan sebagai
Dalam penelitian lain, digunakan jenis rangkaian yang melewatkan pita
respon frekuensi Bessel. Simulasi frekuensi tertentu yang diinginkan dan
dilakukan dengan menggunakan meredam pita frekuensi lainnya.
software Matlab dengan memasukan
frekuensi passband, frekuensi Pada penelitian ini, dilakukan desain
stopband, ripple passband, dan filter Butterworth orde dua dengan
stopband attenuation yang telah simulasi Matlab. Rangkaian elektronis
dirancang. Dengan frekuensi sampling yang didesain berupa komponen aktif
sebesar 15000 Hz, frekuensi voltage controlled voltage source
passband sebesar 3000 Hz, frekuensi (VCVS) yang bahan utamanya berupa
stopband sebesar 3500 Hz dan 5000 operational amplifier (Op-Amp) [10].
Hz untuk Bessel. Setelah simulasi Komponen pasif pendukung, dihitung
dilakukan implementasi filter dengan dan dicari dengan mengetahui salah
parameter yang sama menggunakan satu nilai komponen kapasitor yang
DSK TMS320C6713 dengan bantuan ada. Besarnya penguatan VCVS
software CCS. Parameter pengujian kemudian dihitung dan pada bagian
dari implementasi filter adalah respon akhir diperoleh kurva respon frekuensi
magnitude, frekuensi cut-off, filter Butterworth yang didesain. Juga
bandwidth, dan faktor kualitas dengan plot kurva Bode-nya dalam domain
hasil simulasi yang tidak menunjukan frekuensi.
perbedaan yang signifikan. [7]
Blok rangkaian voltage controlled
Pada artikel lain, disajikan desain filter voltage source sebagai filter
dengan langkah pertama adalah Butterworth orde dua secara umum
menentukan spesifikasi filter, yang direncanakan terlihat pada
melakukan perhitungan matematis low gambar 1.
pass, high pass dan band-pass filter

ISU TEKNOLOGI STT MANDALA VOL.15 NO.2 DESEMBER 2020 p-ISSN 1979-4819 e-ISSN 2599-1930 33
Gambar 1. Rangkaian VCVS sebagai filter Butterworth

4*b*C1*C2))*wc);
3. HASIL DAN PEMBAHASAN R2=1/(b*C1*C2*R1*wc^2);
R3=K*(R1+R2)/(K-1);
Dengan memisalkan nilai kapasitor C1 R4=K*(R1+R2);
sebesar 22 nF dan harga frekuensi fprintf(' \n');
cut-off filter sebesar 0,5 kHz, dengan fprintf('R1 = %6.0f \t',R1); fprintf('R2 = %6.0f
penguatan VCVS sebesar 10, maka \t',R2);
dengan script Matlab di bawah : fprintf('R3 = %6.0f \t',R3); fprintf('R4 = %6.0f
\t',R4);
C1=22*10^(-9);
C2=C1; diperoleh harga-harga R1, R2, R3 dan
a=sqrt(2); b=1; R4. Rangkaian filter yang dihasilkan
K=10; seperti gambar 2.
wc=2*pi*0.5*10^3;
R1=2/((a*C2+sqrt((a^2+4*b*(K-1))*C2^2-

Gambar 2. Rangkaian filter hasil desain

Sedangkan respon frekuensi dan plot simulasi masing-masing terlihat pada


diagram Bode yang dihasilkan dari gambar 3 dan gambar 4.

ISU TEKNOLOGI STT MANDALA VOL.15 NO.2 DESEMBER 2020 p-ISSN 1979-4819 e-ISSN 2599-1930 34
Gambar 3. Respon frekuensi

Gambar 4. Diagram Bode

Terlihat bahwa filter yang dihasilkan kHz. Besarnya harga-harga komponen


merupakan low pass filter atau tapis pembentuk filter dengan komponen
lolos bawah yang mampu melewatkan utama voltage controlled voltage
sinyal dengan frekuensi cut-off 0,5 source dengan penguatan yang

ISU TEKNOLOGI STT MANDALA VOL.15 NO.2 DESEMBER 2020 p-ISSN 1979-4819 e-ISSN 2599-1930 35
semua dapat divariasikan sesuai berbagai aplikasi teknik, 1st
desain yang diinginkan. Dalam hal ini editio. Malang: Penerbit Gunung
nilai-nilai yang diperoleh yaitu R1=4 Samudera [ Grup Penerbit PT
kΩ; R2=52,4 kΩ; R3=62,6 kΩ; R4=564 Book Mart Indonesia ], 2017.
kΩ; C1=22 nF; C2=22 nF; K=10; dan [5] H. S. Utama, “Pembuatan Filter
besarnya koefisien orde filter Chebichef Low Pass dan High
Butterworth masing-masing a=1,41421 Pass Menggunakan Program
dan b=1. MATLAB,” J. Tek. , Univ.
Pakuan, vol. 1, no. 33, pp. 9–11,
2019.
4. KESIMPULAN DAN SARAN [6] U. Murdika dan Y. Mulyani,
Filter Butterworth orde dua telah “Perancangan Dan Simulasi
disimulasikan sesuai dengan desain Filter IIR,” Electrician, vol. 11,
awal. Karakter flat pada passband no. 3, pp. 114–118, 2017.
telah ditampilkan sampai harga cut-off. [7] L. Lidyawati, A. R. Darlis, dan S.
Karakteristik magnitude yang I. Romadoni, “Implementasi
ditampilkan sesuai teori yang ada Filter Infinite Impulse Response
dimana dengan orde dua mimiliki (Iir) Dengan Respon Elliptic Dan
tingkat kelandaian dibanding orde filter Bessel Menggunakan Dsk
yang lebih tinggi. Variasi nilai Tms320C6713,” J. Elektro dan
komponen aktif dan aktif pada Telekomun. Terap., vol. 2, no. 2,
rangkaian dapat disimulasikan pada pp. 138–145, 2016.
harga-harga optimasi yang diinginkan. [8] M. Wijayanti, “Merancang dan
Untuk rencana ke depan dapat Mensimulasi Infinite Impulse
dilakukan simulasi menggunakan Response Chebyshev Low-Pass
Simulink dengan berbagai variasi orde Digital Filter Menggunakan
filter Butterworth yang ada. Perangkat FPGA,” J. Teknol.
dan Rekayasa, vol. 19, no. 3, pp.
24–34, 2014.
DAFTAR PUSTAKA [9] V. Elamaran, K. Narasimhan, G.
Rajkumar, dan M.
[1] P. Podder, “Design and
Chandrasekar, “A Tutorial
Implementation of Butterworth,
Chebyshev-I,” Int. J. Comput. Review on Digital Signal
Processing Using Matlab,” J.
Appl., vol. 98, no. 7, pp. 12–18,
Chem. Pharm. Sci., vol. 10, no.
2014.
[2] H. Tanudjaja, Pengolahan Sinyal 1, pp. 280–284, 2017.
[10] S. T. Karris, Signals and
Digital dan Sistem Pemrosesan
systems, Third edit. Orchard
Sinyal, Edisi pert. Yogyakarta.:
Publisher, 2007.
Penerbit ANDI, 2007.
[3] Anung dan R. Hidayat,
“Meningkatkan Efisiensi
Konverter Dc-Dc Penaik
Tegangan Dengan Teknik Zero
Voltage Switching (ZVS) Untuk
Koreksi Faktor Daya Beban
Nonlinier,” J. Isu Teknol., vol. 7,
no. 2, pp. 3–13, 2014.
[4] R. Hidayat, Matlab pada Sistem
Pemrosesan Sinyal dan
Komunikasi Digital: Simulasi

ISU TEKNOLOGI STT MANDALA VOL.15 NO.2 DESEMBER 2020 p-ISSN 1979-4819 e-ISSN 2599-1930 36

Anda mungkin juga menyukai