Anda di halaman 1dari 27

TEKNIK DIGITAL

Oleh:
Isnan Nur Rifai, Ph.D.
Rella Mareta, S.T., M.T.

LABORATORIUM ELEKTRONIKA
DEPARTEMEN TEKNIK ELEKTRO DAN INFORMATIKA
UNIVERSITAS GADJAH MADA
YOGYAKARTA

1
Tertib Praktikum Elektronika Digital

A. Umum
1. Praktikan diharuskan mengenakan pakaian rapi/sopan (kemeja).
Menggunakan sepatu tertutup (bukan sandal). Praktikan yang berambut
panjang harus diikat dengan karet rambut.
2. Kartu Praktikum di pegang oleh masing – masing praktikan dan harus
selalu di bawa setiap kali praktikum dan penyerahan laporan. Sebelum
praktikum, praktikan di wajibkan menyerahkan kartu praktikum dan tugas
pendahuluan kepada asisten.
3. Jika terjadi kehilangan, kerusakan dan sebagainya pada alat yang
digunakan selama praktikum maka praktikan harus mengganti alat tersebut
dengan kualitas dan kuantitas yang sama dalam jangka waktu satu
minggu.
4. Selama berada di ruang Laboratorium, praktikan tidak diperkenankan
menerima atau menyalakan alat komunikasi, makan dan minum, merokok,
membuang sampah tidak pada tempatnya, membuat gaduh sehingga
mengganggu jalannya praktikum, merubah dan mengambil alat-alat yang
ada di Laboratorim.
5. Tas, jaket dan alat-alat yang tidak digunakan selama praktikum disimpan
ditempat yang telah di tentukan. Kehilangan atas barang-barang berharga
milik praktikan tidak menjadi tanggung jawab asisten.
6. Bila ada pengoperasian alat yang tidak dimengerti, sebaiknya bertanya
kepada asisten.
7. Asisten berhak mengeluarkan praktikan atau memberikan tugas tambahan
bila praktikan dianggap belum siap untuk mengikuti suatu praktikum dan
atau melanggar peraturan yang ada.
8. Selama praktikum berlangsung, praktikan tidak diperkenankan
meninggalkan ruangan tanpa seizin asisten.
9. Pelanggaran terhadap tata tertib akan dikenakan sangsi.

B. Kehadiran
1. Praktikan harus hadir tepat waktu sesuai jadwal yang telah
ditentukan,serta mengisi daftar hadir.
2. Praktikan yang berhalangan hadir karena suatu alasan yang dapat diterima,
maka wajib memberitahukan kepada koordinator 2 hari sebelumnya.
3. Pelaksanaan Praktikum
• Praktikan tidak membawa laporan praktikum sebelumnya, tidak
diperkenankan mengikuti praktikum.
• Toleransi keterlambatan 15 menit, setelah 15 menit tidak
diperkenankan mengikuti praktikum.

2
I
GERBANG DASAR

Tujuan Percobaan
1. Mengenal dan memahami IC tipe TTL.
2. Mampu mengenal rangkaian logika dari suatu IC.
3. Mengerti dan memahami ekspresi-ekspresi boolean.
4. Mengerti dan memahami cara membuat rangkaian gerbanggerbang logika dasar
(AND, OR, NOT, NAND, NOR).
5. Mampu membuat tabel kebenaran dan menyederhanakan persamaan boolean.
6. Mampu mengaplikasikan berbagai rangkaian logika ke rangkaian yang lain

Dasar Teori dan fungsi IC sebagai gerbang logika


A. Berbagai IC TTL gerbang dasar 74XX

IC TTL merupakan perangkat logika yang mempunyai tegangan kerja


4.5 s/d 5.5 volt. Bila batas tegangan ini dilampaui maka, IC akan rusak atau
bila kurang IC tidak akan bekerja dangan baik. IC TTL yang telah difibrikasi
untung gerbang-gerbang logika dasar antara lain :
a. AND : 7408 d. OR : 7432
b. NAND : 7400 e. NOT : 7404
c. NOR : 7402, 7425, 7427 f. EX-OR : 7486

3
Jenis Jenis IC TTL dasar dan konfigurasi pin.

B. SOP (Sum of Product) / minterm


SOP merupakan metode penyederhanaan ekspresi boolean secara manual dengan
melihat output tabel kebenaran yang berlogika 1 (high). Prinsip SOP adalah
penjumlahan dari perkalian yang berarti komponen input yang menghasilkan logika satu
di kalikan (and) terlebih dahulu baru kemudian dijumlahkan (OR).
Setelah mendapatkan mendapatkan fungsi boolean awal, kemudian dicari bentuk
paling sederhana dengan menggunakan beberapa teorema berikut:

C. MAP Karnough
Map Karnough adalah metode penyederhanaan ekspresi boolean yang lebih cepat
dan lebih efisien dibandingkan SOP maupun POS, prinsip kerja map karnough
adalah adanya pemetaan output suatu tabel kebenaran kedalam tabel.
Tabel Karnough dengan 4 variabel diperlihatkan pada gambar berikut

4
Tabel Karnough 4 variabel input

5
II
PENGENALAN FPGA

Kompetensi Dasar
Mahasiswa dapat menggunakan software Altera untuk mendesain rangkaian logika
Mahasiswa dapat memahami cara penggunaan FPGA untuk simulasi desain yang
telah dibuat

Alat dan bahan.


PC dengan sofware altera
1 set FPGA

Pengenalan Software dan FPGA


1. Buka Software quarturs (64 bit)
2. Pilih Create new project

3. Klik next, lalu pilih lokasi folder, dan tulis nama project yang diinginkan.

6
4. Klik next sampai pemilihan device. Pilih device EP4CE6E22C8. Klik next,
sampai Finish.

7
5. Pilih File -> New file , pilih schematic/block diagram.

8
6. Pilih symbol gerbang AND untuk memasukkan gerbang logika dalam rangkaian.

7. Pilih pin Input dan Output dengan mengklik simbol I/O pada layar.
8. Sambungkan semua titik dengan wire.
9. Save file pada folder yang sama dengan project, lalu compile rangkaian dengan
memilih processing -> start compilation.

9
10. Pilih Assignment -> Pin Planner.
11. Set Input Output sesuai I/O yang dituju pada FPGA

12. Compile sekali lagi


13. Set up FPGA pada komputer dengan menghubungkan kabel power dan kabel data
14. Tekan tombol ON.
15. Pilih Tools -> Programmer, pilih file .sof sesuai nama project, lalu klik start untuk
mendownload program ke FPGA.

10
16. Cek fungsi rangkaian logika yang telah dibuat pada I/O FPGA.

Praktikum
Sederhanakan fungsi berikut dan aplikasikan ke FPGA
C B A F
0 0 0
0 0 1
0 1 0
0 1 1
1 0 0
1 0 1
1 1 0
1 1 1

11
III
MULTIPLEXER
Tujuan Percobaan
1. Mengerti dan memahami IC-IC Multiplexer dan decoder
2. Mampu membuat tabel kebenaran dan mengaplikasikan pada multiplexer.
3. Mampu mengaplikasikan berbagai rangkaian logika menggunakan multiplexer
yang tersedia.

Dasar Teori Multiplexer


Multiplexer adalah suatu rangkaian yang memiliki banyak input dan hanya memiliki
satu output. Dengan menggunkan selector kita dapat memilih salah satu inputnya
untuk dijadikan output.
Bila suatu multiplexer mempunyai m selector, maka multiplexer tersebut mempunyai
2m input. Adapun macam dari multiplexer, jenis IC dan datasheet yang dipakai
adalah sebagai berikut:

Multiplexer 2x1 atau Mux 2:1 (IC 74157)

Multiplexer 4x1 atau Mux 4:1 (IC 74153)

12
Multiplexer 8x1 atau Mux 8:1 (IC 74151), dsb

Petunjuk Praktikum :
1. Perhatikan Tabel Kebenaran yang diberikan oleh asisten!
D C B A F(output)
0 0 0 0
0 0 0 1
0 0 1 0
0 0 1 1
0 1 0 0
0 1 0 1
0 1 1 0
0 1 1 1
1 0 0 0
1 0 0 1
1 0 1 0
1 0 1 1
1 1 0 0
1 1 0 1
1 1 1 0
1 1 1 1
4. Sederhanakan Rangkaian tersebut dan Rangkai menggunakan IC 74153
(MUX 4:1)
5. Tuliskankan hasil eksperimen dan bandingkan dengan kondisi tabel
kebenaran yang diminta. Tulis analisa anda dalam laporan!

13
IV
FLIP FLOP

Tujuan Percobaan
1. Mengenal IC flip flop dan pembagian kelompoknya.
2. Mempelajari prinsip kerja dari semua jenis rangkaian flip flop.

Praktek :
a. Set Reset flip -flop

Petunjuk Praktikum :
1. Buatlah rangkaian seperti gambar berikut, sesuaikan input outputnya pada pin
planner dan download ke FPGA.

Rangkaian SR Flip – Flop

2. Berikanlah input logika pada titik A dan B ( logika 1=Vcc, 0=Gnd). Kemudian
catatlah hasil outputya pada Tabel berikut ( Led nyala =1. Led padam = 0)

INPUT OUTPUT AKHIR


S R Q
0 0
0 1
1 0
1 1

3. Bagaimanakah outputnya? Tuliskan analisa ( perbandingan dengan rumus )


dan kesimpulan pada laporan !

14
b. Data flip –flop

Petunjuk Praktikum :
1. Buatlah rangkaian seperti gambar berikut, sesuaikan input outputnya pada pin
planner dan download ke FPGA.

Rangkaian D Flip – Flop

2. Mintalah bantuan kepada asisten untuk mengecek rangkaian sebelum dipasang


ke trainer.
3. Hubungkan rangkaian yang telah dibuat ke trainer.
4. Berikanlah input logika pada titik A dan B ( logika 1=Vcc, 0=Gnd).
Kemudian catatlah hasil outputya pada Tabel berikut ( Led nyala =1. Led
padam = 0)

INPUT OUTPUT AKHIR


D Q
0
1
5. Bagaimanakah outputnya? Tuliskan analisa ( perbandingan dengan rumus )
dan kesimpulan pada laporan !

c. JK Flip –Flop

Petunjuk Praktikum :
1. Buatlah rangkaian seperti gambar berikut, sesuaikan input outputnya pada
pin planner dan download ke FPGA.

15
Rangkaian JK Flip -Flop

2. Berikanlah input logika pada titik A dan B ( logika 1=Vcc, 0=Gnd).


Kemudian catatlah hasil outputya pada Tabel berikut ( Led nyala =1. Led
padam = 0)

Tabel II.IV Tabel Kebenaran JK Flip - Flop


INPUT OUTPUT AKHIR
J K Q
0 0
0 1
1 0
1 1

3. Bagaimanakah outputnya? Tuliskan analisa ( perbandingan dengan rumus)


dan kesimpulan pada laporan !

16
V
PENCACAH SINKRON
Tujuan Percobaan
Mengamati dan mempelajari pembentukan pencacah sinkron menggunakan
JKFF dan beberapa rangkaian nalar.

Dasar Teori Pencacah Sinkron Modulo 6, Modulon 8 dan BCD Sinkron

Praktek :
d. Modulo 8 Sinkron

Petunjuk Praktikum :
1. Buatlah rangkaian seperti gambar berikut, sesuaikan input outputnya pada pin
planner dan download ke FPGA.

Modulo 8 Sinkron

2. Hubungkan Reset dan Input ke bit generator ( saklar pada trainer led )
sedangkan QA, QB, QC dihubungkan ke indicator led.
3. Atur pada kondisi awal Reset=1, Input=0. Kemudian hidupkan sumber daya.
Reset pencacah dengan mengubah saklar sebentar ke 0 kemudian ke 1 lagi
sehingga semua output = 0.
4. Masukan pulsa Input sebanyak 8 kali. Satu kali pulsa input diperoleh dengan
mengubah Input sebentar ke 1 kemudian ke 0 lagi. Lakukan percobaan 4 dan
5 sebanyak 2 atau 3 kali.
5. Harga output ( QA QB QC)o, dapat dilihat dari nyala led. Kemudian catatlah
hasil outputya pada Tabel berikut ( Led nyala =1. Led padam = 0).
Berdasarkan tabel tersebut, gambarkan bentuk perubahani nput dan outputnya
( timing diagram ). Perhatikan apakah perubahan output terjadi pada waktu
input berubah dari 1 ke 0 atau dari 0 ke 1.

17
Tabel Pencacah Modulo 8
Pulsa Input ke QA QB QC
0
1
2
3
4
5
6
7

e. Modulo 6 Sinkron

Petunjuk Praktikum :
1. Buatlah rangkaian seperti gambar berikut, sesuaikan input outputnya pada pin
planner dan download ke FPGA.

Modulo 6 Sinkron

2. Hubungkan Reset dan Input ke bit generator ( saklar pada trainer led )
sedangkan QA, QB, QC dihubungkan ke indicator led.
3. Atur mula-mula Reset=1, Input=0. Kemudian hidupkan sumber daya. Reset
pencacah dengan mengubah saklar sebentar ke 0 kemudian ke 1 lagi sehingga
semua output = 0.
4. Masukan pulsa Input sebanyak 6 kali. Satu kali pulsa input diperoleh dengan
mengubah Input sebentar ke 1 kemudian ke 0 lagi. Lakukan percobaan 4 dan
5 sebanyak 2 atau 3 kali.

18
5. Harga output ( QA QB QC)o, dapat dilihat dari nyala led. Kemudian catatlah
hasil outputya pada Tabel berikut ( Led nyala =1. Led padam = 0).
Berdasarkan tabel tersebut, gambarkan bentuk perubahani nput dan outputnya
( timing diagram ). Perhatikan apakah perubahan output terjadi pada waktu
input berubah dari 1 ke 0 atau dari 0 ke 1.
Tabel Pencacah Modulo 6
Pulsa Input ke QA QB QC
0
1
2
3
4
5
6
7

19
VI
PENCACAH TAK SINKRON

Tujuan Percobaan
Mengamati dan mempelajari pembentukan pencacah tak sinkron
menggunakan JKFF dan beberapa rangkaian nalar.

Dasar Teori Pencacah Tak Sinkron Modulo 6, Modulo 8 dan BCD Tak Sinkron

Praktek :
a. Modulo 8 Tak Sinkron

Petunjuk Praktikum :
1. Buatlah rangkaian seperti gambar berikut, sesuaikan input outputnya pada pin
planner dan download ke FPGA.

Modulo 8 Tak Sinkron

2. Hubungkan Reset dan Input ke bit generator ( saklar pada trainer led )
sedangkan QA, QB, QC dihubungkan ke indicator led.
3. Atur mula-mula Reset=1, Input=0. Kemudian hidupkan sumber daya. Reset
pencacah dengan mengubah saklar sebentar ke 0 kemudian ke 1 lagi sehingga
semua output = 0.
4. Masukan pulsa Input sebanyak 8 kali. Satu kali pulsa input diperoleh dengan
mengubah Input sebentar ke 1 kemudian ke 0 lagi. Lakukan percobaan 4 dan
5 sebanyak 2 atau 3 kali.
5. Harga output ( QA QB QC)o, dapat dilihat dari nyala led. Kemudian catatlah
hasil outputya pada Tabel IV.I berikut ( Led nyala =1. Led padam = 0).
Berdasarkan tabel tersebut, gambarkan bentuk perubahani nput dan outputnya

20
( timing diagram ). Perhatikan apakah perubahan output terjadi pada waktu
input berubah dari 1 ke 0 atau dari 0 ke 1.

Tabel IV.I. Tabel Pencacah Modulo 8 Tak Sinkron


Pulsa Input ke QA QB QC
0
1
2
3
4
5
6
7

b. Modulo 6 Tak Sinkron

Petunjuk Praktikum :
1. Buatlah rangkaian seperti gambar berikut, sesuaikan input outputnya pada pin
planner dan download ke FPGA.

Modulo 6 Tak Sinkron

2. Hubungkan Reset dan Input ke bit generator ( saklar pada trainer led )
sedangkan QA, QB, QC dihubungkan ke indicator led.
3. Atur mula-mula Reset=1, Input=0. Kemudian hidupkan sumber daya. Reset
pencacah dengan mengubah saklar sebentar ke 0 kemudian ke 1 lagi sehingga
semua output = 0.

21
4. Masukan pulsa Input sebanyak 6 kali. Satu kali pulsa input diperoleh dengan
mengubah Input sebentar ke 1 kemudian ke 0 lagi. Lakukan percobaan 4 dan
5 sebanyak 2 atau 3 kali.
5. Harga output ( QA QB QC)o, dapat dilihat dari nyala led. Kemudian catatlah
hasil outputya pada Tabel IV.II berikut ( Led nyala =1. Led padam = 0).
Berdasarkan tabel tersebut, gambarkan bentuk perubahani nput dan outputnya
( timing diagram ). Perhatikan apakah perubahan output terjadi pada waktu
input berubah dari 1 ke 0 atau dari 0 ke 1.
Tabel IV.II. Tabel Pencacah Modulo 6 Tak Sinkron
Pulsa Input ke QA QB QC
0
1
2
3
4
5
6
7

c. BCD Tak Sinkron

Petunjuk Praktikum :
1. Buatlah rangkaian seperti gambar berikut, sesuaikan input outputnya pada pin
planner dan download ke FPGA.

Rangkaian BCD Tak Sinkron

2. Hubungkan Reset dan Input ke bit generator ( saklar pada trainer led )
sedangkan QA, QB, QC dihubungkan ke indicator led.

22
3. Atur mula-mula Reset=1, Input=0. Kemudian hidupkan sumber daya. Reset
pencacah dengan mengubah saklar sebentar ke 0 kemudian ke 1 lagi sehingga
semua output = 0.
4. Masukan pulsa Input sebanyak 10 kali. Satu kali pulsa input diperoleh dengan
mengubah Input sebentar ke 1 kemudian ke 0 lagi. Lakukan percobaan 4 dan
5 sebanyak 2 atau 3 kali.
5. Harga output ( QA QB QC QD)o, dapat dilihat dari nyala led. Kemudian
catatlah hasil outputya pada Tabel IV.III berikut ( Led nyala =1. Led padam =
0). Berdasarkan tabel tersebut, gambarkan bentuk perubahani nput dan
outputnya ( timing diagram ). Perhatikan apakah perubahan output terjadi
pada waktu input berubah dari 1 ke 0 atau dari 0 ke 1.
Tabel IV.III. Tabel Pencacah BCD Tak Sinkron
Pulsa Input ke QA QB QC QD
0
1
2
3
4
5
6
7
8
9
10

23
VII
FINITE STATE MACHINE
Pengertian
Finite State Machine (FSM) adalah rangkaian digital yang terdiri dari 3 bagian, yaitu
Next-State Logic Circuit, State Memory Register dan Output Logic Circuit. Logic Circuit
adalah rangkaian hasil implementasi persamaan logika, sedangkan state memory register
adalah sekumpulan Flip-Flop yang jumlahnya sama dengan jumlah bit feedback dan
terkait dengan jumlah state. Misalnya jika jumlah state antara 5 sampai 8, maka jumlah
feedbacknya harus terdiri dari 3 Flip-Flop. Untuk sinkronisasi, State Memory Register
dilengkapi dengan clock. Kecepatan clock (clock rate) tidak boleh melampaui waktu
propagasi terpanjang pada Next-state logic circuit.

24
A
STUDI KASUS I
(Simplifikasi K-Map untuk BCD to 7segment)

Kompetensi Dasar
1. Dapat menggunakan software Altera untuk mendesain rangkaian BCD-to-7seg
2. Memahami cara penggunaan 7segmen pada FPGA untuk simulasi desain yang telah
dibuat

Prosedur percobaan.
Buatlah rangkaian BCD-to-7seg yang disimplifikasi dengan K-Map berdasarkan tabel
berikut

25
B
STUDI KASUS II

Kompetensi Dasar
1. Dapat membuat rangkaian combinational sesuai dengan spesifikasi.
2. Dapat simulasi rangkaian yang dibuat pada FPGA

Lampu emergency
Buat rangkaian sistem lampu emergency. Kondisi awal lampu mati. Jika ditekan tombol a
maka lampu hidup. Pada kondisi lampu hidup, jika tombol a ditekan kembali, maka
lampu akan padam kembali. Jika tombol a ditekan saat lampu mati, maka lampu akan
menyala kembali, dan seterusnya. (Petunjuk: Lakukan 5 langkah dalam pembuatan
arsitektur controller, dimulai dari FSM).

26
C
STUDI KASUS III

Kompetensi Dasar
1. Dapat membuat rangkaian combinational sesuai dengan spesifikasi.
2. Dapat simulasi rangkaian yang dibuat pada FPGA

Lampu emergency
Buat rangkaian running led 4 buah led yang bergerak dari led kiri ke kanan. Setelah
sampai ujung, led akan kembali ke paling kiri lalu bergeser ke kanan kembali, dan
seterusnya. (Petunjuk: Lakukan 5 langkah dalam pembuatan arsitektur controller, dimulai
dari FSM).

TUGAS PROJECT AKHIR


• Ajukan proposal topik/spesifikasi project akhir yang akan Anda buat kepada
koordinator praktikum (deadline topik disetujui tanggal ..............). Proposal max 2
halaman A4.
• Topik tiap kelompok harus unik dan berbeda dari kelompok lainnya.
• Contoh topik: up counter, down counter, random number, adder, prototype sistem
mengunakan rangkaian sekuensial, prototype sistem menggunakan rangkaian
kombinasional.
• Percobaan project ialah pada saat praktikum antara tanggal (tanggal ........).

27

Anda mungkin juga menyukai