Anda di halaman 1dari 9

Laporan Akhir

Elektronika 2
Modul Praktikum
Half Adder, Full Adder, dan Decoder menggunakan VHDL

Nama : Adina Aji Setiady


NPM : 1606836521
Rekan Kerja : Wakid Ali Muntoha
Kelompok : 14
Hari : Selasa
Tanggal : 17 April 2018
Modul ke :6

Laboratorium Elektronika – Departemen Fisika


Fakultas Matematika dan Ilmu Pengetahuan Alam
Universitas Indonesia
2018
18

MODUL 6
Half Adder, Full Adder, dan Decoder menggunakan VHDL

A. DATA PENGAMATAN
 Half Adder
Hasil Keterangan

Coding program Half Adder

A=0
B=0
Sum = 0
Carry = 0

A=0
B=1
Sum = 1
Carry = 0

A=1
B=0
Sum = 1
Carry = 0

Universitas Indonesia, 2018


19

A=1
B=1
Sum = 0
Carry = 1

Skematik Rangkaian Half


Adder

 Ful Adder
Hasil Keterangan

Codingan Full Adder

A=0
B=0
C=0
Sum = 0
Carry = 0

A=0
B=0
C=1
Sum = 1
Carry = 0

Universitas Indonesia, 2018


20

A=0
B=1
C=0
Sum = 1
Carry = 0

A=0
B=1
C=1
Sum = 0
Carry = 1

A=1
B=0
C=0
Sum = 1
Carry = 0

A=1
B=0
C=1
Sum = 0
Carry = 1

A=1
B=1
C=0
Sum = 0
Carry = 1

Universitas Indonesia, 2018


21

A=1
B=1
C=1
Sum = 1
Carry = 1

Skematik Rangkaian Full


Adder

 Decoder
Hasil Keterangan

Coding Decoder

A=0
B=0
Z0 = 0
Z1 = 0
Z2 = 0
Z3 = 1

Universitas Indonesia, 2018


22

A=0
B=1
Z0 = 0
Z1 = 1
Z2 = 1
Z3 = 0

A=1
B=0
Z0 = 0
Z1 = 1
Z2 = 1
Z3 = 0

A=1
B=1
Z0 = 1
Z1 = 1
Z2 = 0
Z3 = 0

Skematik Rangkaian Decoder

B. ANALISIS
Pada percobaan modul 6, praktikan melakukan percobaan yang berjudul
“Half Adder, Full Adder, dan Decoder menggunakan VHDL” yang bertujuan tujuan
untuk bagaimana program dapat bekerja pada suatu system. Pada percobaan modul 6
ini terdapat tiga eksperimen yang mana terdiri dari Half Adder, Full Adder dan
Decoder. Ketiga eksperimen tersebut dilakukan dengan mengimplementasikannya
dengann dijalankan menggunakan software Vivado. Sebelum praktikan memulai

Universitas Indonesia, 2018


23

praktikum, praktikan terlebih dahulu menyiapkan script coding-an untuk masing-


masing rangkaian. Untuk penjelasan lebih terperinci, akan praktikan jabarkan.
Pada percobaan pertama yaitu Half Adder, pada dasarnya rangkaian ini
bekerja seperti pada umumnya. Program pada rangkaian ini terdapat dua buah input
dan output sebanyak dua buah. Sebelum mengorperasikannya kita menggunakan
logika VHDL yang mana bahasanya cukup mudah dan dapat digunakan pada ZYBO.
Setelah kita menuliskan scriptnya kita perlu mengganti sw0 dan sw1 dengan A dan B
sebagai masukannya dan mengganti LED0 dan LED1 dengan sum dan carry yang
mana penerapan pada output ini akan terlihat pada ZYBO. Dalam proses upload yang
telah dibuat kita perlu mengecek apakah script yang sudah sempurna atau belum.
Setelah proses pengecekan selesai, praktikan menghubungkan ZYBO dengan kabel
USB yang mana fungsinya ialah mentrasmisikan data pada perangkat lunak ke dalam
perangkat luar atau ZYBO. Setelah semua terpasang kemudian praktikan
menjalankan program yang sudah terhubung pada ZYBO dan hasil yang diperoleh
LED 1 (sum) akan menyala ketika salah satu masukannya disaklarkan dengan logika
1. Ketika kedua masukannya diberikan logika 1 maka LED 1 mati dan LED 2 (carry)
akan menyala. Hal tersebut sangat sesuai dengan tabel kebenaran pada rangkaian
tersebut. Keluaran dari LED 1 (sum) adalah gerbang XOR dan keluaran dari LED 2
(carry) adalah gerbang AND.
Pada percobaan kedua yaitu full adder. Rangkaian ini sama seperti pada
umumnya, praktikan memberikan tiga masukan (A,B,C) dan 2 keluaran (sum dan
carry). Langkah dalam percobaan ini sama seperti percobaan half adder, praktikan
menyiapkan script yang telah dibuat pada Vivado yang mana perlu mengatur definisi
masukan pada LED nya diganti dari sw0, sw1, dan sw2 menjadi a,b,c dan LED1 dan
LED2 menjadi sum dan carry yang mana LED tersebut sudah tertanam pada ZYBO
Master. Tiga masukan itu adalah dua kuantitas biner yang dijumlahkan serta
ditambah adanya carry out dari perhitungan LSB-nya. Dari hasil percobaan ini sesuai
dengan tabel kebenaran pada rangkaian full adder yang mana ketika salah satu
masukanya diberikan logika 1 maka LED 1 menyala, ketika kedua masukannya

Universitas Indonesia, 2018


24

diberikan logika 1 maka LED 2 akan menyala, dan jika ketiga masukannya diberikan
logika 1 maka LED 1 dan LED 2 akan menyala
Pada percobaan ketiga yaitu decoder. Rangkaian ini adalah decoder 2 ke 4.
Rangkaian ini akan mengubah masukan biner 2 bit menjadi decimal. Langkah kerja
yang dilakukan sama seperti dua percobaan sebelumnya. Mengatur definisi masukan
pada saklar sw0 dan sw1 menjadi a dan b serta mengganti LED 1,2,3,4 menjadi
z0,z1,z2,z3. Program dari rangkaian ini ketika salah satu masukannya diberikan
logika 2 maka Z1 dan Z2 menyala, sedangkan jika kedua masukannya diberikan
logika 1 maka Z0 dan Z1 menyala.
Kesalahan yang terjadi dalam percobaan ini sangat minim sekali, karena data
yang dimasukan sudah sesuai dengan acuannya. ZYBO Master pun masih bekerja
dengan sangat optimal sehingga data yang diharapkan sangat sesuai. Hanya saja
praktikan harus sangat memperhatikan untuk melakukan program ulang pada ZYBO
dengan cara melakukan penghapusan program dengan menekan tombol reset pada
ZYBO, dalam hal ini akan menghapus program sebelumnya yang telah tertanam pada
ZYBO.
C. KESIMPULAN
 Rangkaian Half Adder, Full Adder, dan Decoder bisa dirangkai menggunakan
VIVADOTM menggunakan bahasa VHDL.
 ZYBO Development Board FPGA dapat digunakan untuk menyimulasikan
rangkaian.
 Input dan output yang diinginkan dapat diatur pada ZYBO master constraints.
D. TUGAS AKHIR
1. Programlah tabel kebenaran berikut menggunakan Vivado
A B C Y
0 0 0 0
0 0 1 1
0 1 0 0
0 1 1 1
1 0 0 1

Universitas Indonesia, 2018


25

1 0 1 1
1 1 0 1
1 1 1 1
Jawab:
Aljabar Boolean pada tabel kebenaran diatas adalah:
Y = C + BC + A + AC + AB + ABC
Y = C(B + 1) + A(C + 1) + AB(C + 1)
Y = C + A + AB
Y = C + A(B+1)
Y=C+A

Codingan untuk programnya:

Rangkaian yang diperoleh:

E. REFERENSI
 Buku Penuntun Praktikum Elektronika 2.
 William Kleitz, Digital Electronics : A Practical Approach with VHDL; Edisi
9. New York City, New York, United States: Pearson Education Inc., 2012.

Universitas Indonesia, 2018

Anda mungkin juga menyukai