Anda di halaman 1dari 18

PRAKTIKUM ELEKTRONIKA DIGITAL

S-R FLIP-FLOP

Disusun Oleh:

Nama : Febri Tia Aldila

NIM : A1C318008

LABORATORIUM PENDIDIKAN FISIKA

FAKULTAS KEGURUAN DAN ILMU PENDIDIKAN

UNIVERSITAS JAMBI

2020
Percobaan II

I. Judul : S-R Flip-Flop


II. Tujuan
Adapun tujuan dari praktikum yang dilakukan adalah sebagai berikut.
1. Untuk mengenal, mengerti dan memahami operasi dasar
rangkaian S-R Flip-Flop.
2. Untuk mengenal berbagai macam IC S-R Flip-Flop.
III. LandasanTeori
Flip-flops are the basic unit for creation for the digital models. Each flip
flop performs the storage of one bit. Flip flop is always clocked. Flip flop is
either positive edge triggered or negative edge triggered which implies that
the input affects the output when the clock is going low-to-high or high-to-
low, respectively. Flip flops are called single edge triggered flip-flop when
the data storage is done either on rising or on falling edge of the clock. Also,
they are known as dual edge triggered when the data storage is done on both
falling as well as rising edge of the clock ( Shivali, all., 2019: 1696).
Flip-Flops are digital circuits with two stable, selfmaintaining states
that are used as storage/ memory elements such as Random Access Memory
(RAM), Caches Memory and Read Only Memory (ROM). They are also very
useful in the following electronic digital devices design; Sequence Detector,
Data Synchronizer, Frequency Divider, Registers (data transfer), Counters
and Registers in Central Processing Unit (CPU) for data transfer. They are
derived from Sequential Logic Circuits which are the main electronics
circuits that make the development of computers possible. The ability of
computer systems to operate without the continuous human intervention is
solely achieved through sequential logic circuits, the building blocks of Flip
Flops ( Ogunlere and Omotosho, 2015: 1504).
Flip-flop adalah rangkaian digital yang mampu menyimpan sementara 1
bit masukkannya sampai ada perintah untuk mengganti isi bit masukan yang
disimpan. D flip-flop adalah salah satu jenis flip-flop yang dibangun dengan
menggunakan flip-flop rs. Flip-flop rs memiliki 2 masukan r dan s, sedangkan
pada d flip-flop memiliki hanya 1 masukan yaitu d. D flip-flop bisa
diaplikasikan pada berbagai macam peralatan elektronik pada berbagai
bidang. Beberapa bidang yang memanfaatkan d flip-flop pada aplikasinya
adalah pengiriman daya, infrastruktur jaringan, medis, kesehatan, penerangan,
sistem otomasi gedung, infrastruktur komunikasi, pengukuran (Widyastuti,
dkk.,2018: 199).
Flip-flop merupakan piranti yang memiliki dua keadaan stabil. Piranti
ini akan tetap bertagan pada salah satu dari dua keadaan itu sampai ada
permicu yang membuatnya berganti keadaan. Suatu bagian materi yang
sesungguhnya seperti yang dipakai pada komputer pribadi terdiri dari
beberapa sampai ribuan bahkan lebih rangkaian logika flip-flop. Bukan hanya
itu saja bagian penyimpanan tersebut di lengkapi dengan pengalamatan,
sinyal kendali (control signal) dan sinyal denyut (clock sigued). Semua itu
dikemas dalam suatu chip ic (Widjanarka,2006: 193).
Menurut Sinduningrum (2017: 100), rangkaian flip- flop di singkat
dengan FF merupakan rangkaian logika yang memiliki dua input dan dia
output, simbol FF seperti ditunjukkan dalam gambar berikut. Output dari
sebuah rangkaian FF diorientasikan sebagai q dan q bar, dimana q bar
merupakan komplemen dari q (kebalikan) seoerti berikut:

Gambar 3.1 Simbol Flip-Flop


Unsur penyimpanan yang digunakan dalam rangkaian urutan menurut
waktu adalah flip- flop. Rangkaian tersebut merupakan sel biner yang dapat
menyimpan satu bit informasi. Suatu rangkaian flip – flop mempunyai dua
keluaran, suatu dengan nilai normal dan yang lain adalah nilai komplemn bit
menjadi nol. Jadi, suatu masukan 1 pada salah satu kutubnya akan
meghasilkan 0 pada keluarannya. Saklar ini merupakan suatu gerbang nor dan
flip – flop pada gambar tersebut dibentuk dengan dua gerbang semacamnya
(Mismail, 2011: 617).

Flip-flop is employed to receive and store the data sequentially, during


predetermi-ned clock interval. The storage is necessary to pur-vey adequate
limited time period needed by other components inside the system. There are
various kind of flip flop that exist inside the ic which is provided in the
market. The selection of the flip flop to be used depends upon several criteria.
Flip flop which exist inside the ic comprising d-flip flop, t-flip flop, sr-flip
flop, and jk-flip flop (Purnomo,dkk., 2015: 87).

Menurut Suharijanto (2012: 2013-2014), dalam rangkaian inverter ini


menggunakan rangkain d flip-flop. Tujuannya untuk menghindarkan
kemungkinan terjadinya keadaan output yang tak menentu, seperti terjadi sr
flip-flop, maka digunakan input d yang diberikan pada and gate i, sedangkan
and gate ii diberikan informasi d yangf sudah melalui inverter terlebih dahulu
seperti ditunjukkan pada gambar dibawah ini.

Gambar 3.2 Rangkaian D Flip-Flop


D input tersebut berfungsi sebagai pengontrol input yang menentukan
bekerjanya flip-flop tersebut. Tabel kebenaran dari gambar 1 dapat dilihat di
bawah ini.

Tabel 3.1 Tabel Kebenaran D-Flip-Flop

Menurut Purba (2010: 307), flip-flop j-k merupakan penyempurnaan


dari flip-flop r-s terutama untuk mengatasi masalah osilasi, yaitu dengan
adanya umpan balik, serta masalah kondisi terlarang seperti yang telah
dijelaskan di atas, yaitu pada kondisi masukan j dan k berlogika 1 yang akan
membuat kondisi keluaran menjadi berlawanan dengan kondisi keluaran
sebelumnya atau dikenal dengan istilah toggle.

Tabel 3.2 Tabel Kebenaran J-K Flip-Flop

According to Aggarwal (2014: 6), jk flip flop, in jk flip – flop q and q’


are fed back to the pulse - stecring nand gates. There is no invalid state and it
includes a toggle state

 J = high (and k = low) a set state


 K = high (and j = low ) a reset state
 Both inputs low a no change
 Both inputs high a toggle

Gambar 3.3 Rangkaian J-K Flip-Flop

Tabel 3.3 Tabel Keberanaran J-K Flip-Flop

Menurut Putri, dkk (2018: 4), flip-flop rs clock modifikasi dari flip-flop
rs, rangkaian flip-flop rs clock ditunjukkan pada gambar 2.6. Flip-flop rs
clock ini harus menyesuaikan diri dengan sinyal clock. Apabila sinyal
pendetak input pada logika 0, maka data yang masuk pada r dan s tidak akan
ditanggapi atau diproses oleh flip-flop, sehingga output q tetap tidak berubah.
Tabel kebenaran rs clock dapat dilihat pada tabel 2.3. Jika sinyal pendetak
berubah dari logika 0 menjadi 1, seketika itu juga input set atau reset akan
ditanggapi, sehingga output q berubah.
Gambar 3.4 S-R Flip-Flop Clock

Tabel 3.4 Tabel Kebenaran S-R Flip-Flop Clock

Flip-flop t adalah kondisi khusus dari jk flip-flop. Input t didapatkan dari


input j dan k saling dihubungkan. Rangkaian flip-flop t ditunjukkan pada
gambar 2.12. Pada flip-flop t, j dan k akan bernilai sama 00 atau 11. Pada saat
clock turun maka kondisi output q tergantung pada input t. Kondisi output q
berubah-ubah (toggle) dicapai pada saat input t bernilai 1.

IV. Alat dan Bahan

Adapun alat dan komponen dari praktikum ini yaitu :

1. Power Supply
2. Jumper
3. Kabel Secukupnya
4. LED
5. IC-TTL 7402, 7400, 7408
V. Prosedur
5.1 Flip-flop SR dengan Gerbang NOR
1. Dipastikan catu daya dalam posisi OFF. Pasangkan IC TTL 7402
(NOR) pada projectboard. Pasangkan kabel untuk memberi catu daya
pada IC tersebut.
2. Di susun rangkaian seperti pada gambar. Sinyal-sinyal masukan
dihubungkan dengan saklar-saklar masukan, dan sinyal-sinyal
keluaran dengan peraga LED.

3. Divariasikan nilai masukan R dan S berurutan seperti yang tertera


pada tabel, dan amati keluarannya. Tuliskan hasil pengamatan pada
tabel yang telah disediakan.

5.2 Flip-Flop SR dengan Gerbang NAND


1. Dipastikan catu daya dalam posisi OFF. Pasangkan IC TTL 7400
(NAND) pada projectboard. Pasangkan kabel untuk memberi catu
daya pada IC tersebut.
2. Di susun rangkaian seperti pada gambar. Sinyal-sinyal masukan
dihubungkan dengan saklar-saklar masukan, dan sinyal-sinyal
keluaran dengan peraga LED.

3. Di variasikan nilai masukan R dan S berurutan seperti yang tertera


pada tabel, dan amati keluarannya. Tuliskan hasil pengamatan pada
tabel yang telah disediakan.

5.3 Flip-Flop SR Clocked dari Gerbang NOR


1. Di pastikan catu daya dalam posisi OFF. Pasangkan IC TTL 7408 dan
7402 (AND dan NOR) pada projectboard. Pasangkan kabel untuk
memberi catu daya pada IC tersebut.
2. Di susun rangkaian seperti pada gambar. Sinyal-sinyal masukan
dihubungkan dengan saklar-saklar masukan, dan sinyal-sinyal
keluaran dengan peraga LED.
3. Di variasikan nilai masukan R dan S berurutan seperti yang tertera
pada tabel, dan amati keluarannya. Tuliskan hasil pengamatan pada
tabel yang telah disediakan.

VI. Hasil
Adapun hasil yang kami dapatkan dari percobaan tersebut adalah sebagai
berikut.
6.1 Flip-Flop NOR
R S Q Q’ Keterangan
0 1 1 0 -
Keadaan
0 0 1 0
Semula
1 0 0 1 -
Keadaan
0 0 0 1
Semula
0 1 1 0 -
1 1 0 0 Terlarang

6.2 Flip-Flop NAND


S R Q Q’ Keterangan
0 1 1 0 -
Keadaan
1 1 1 0
Semula
1 0 0 1 -
Keadaan
1 1 0 1
Semula
0 1 1 0 -
0 0 1 1 Terlarang

VII. Pembahasan
Flip-flop merupakan rangkaian digital yang digunakan untuk
menyimpan satu bit secara permanen sampai ada suatu perintah untuk
menghapus atau mengganti isi dari bit yang disimpan.dalam penerapannya,
memori yang terkandung dalam flip-flop dapat diubah dengan memberikan
clock pada masukannya.
Flip-Flop SR merupakan rangkaian dasar untuk menyusun berbagai
jenis FF yang lainnya. Flip-flop ini mempunyai 2 masukan yaitu S (SET)
yang dipakai untuk menyetel (membuat keluaran flip-flop berkeadaan 1) dan
yang lainnya disebut R (RESET) yang dipakai untuk mereset (membuat
keluaran berkeadaan 0). FF-SR dapat disusun dari dua gerbang NAND atau
dua gerbang NOR.
Flip-flop disusun dari rangkaian dasar yang berupa latch yaitu lacth SR.
Lacth jenis ini dapat dibentuk dari gerbang NAND dan gerbang NOR.
Pemahaman terhadap rangkaian flip-flop (FF) ini sangat penting karena flip-
flop dapat menyimpan data, mengingat informasi (memori) dan menghitung.
Keadaan keluaran flip-flop biasa berada dalam keadaan tinggi (1) atau
keadaan rendah (0), untuk selang waktu yang dikehendaki.
Prinsip kerja dari rangkaian flip-flop dibandingkan dengan prinsip dari
kerja transistor sebagai saklar adalah sama, yaitu apabila rangkaiannya diberi
tegangan maka salah satu dari kondisi transistor nya menjadi hidup. Keadaan
ini pula memiliki ketergantungan kepada kapasitor yang memiliki ketinggian
muatan yang lebih jika dibandingkan dengan komponen lainnya. Sebuah
kapasitor yang ketinggian muatannya lebih akan menyebabkan lepasnya
muatan listrik lebih dulu kemudian terjadi hubungan antara kaki transistor
dengan kapasitor yang kondisinya sedang on.
Praktikum kali ini membahas tentang rangkaian SR Flip-Flop, dimana
flip-flop SR merupakan rangkaian dasar dari semua jenis flip-flop yang
ada.Flip-flop SR disusun dari dua buah gerbang NAND 2 masukan. Dua
masukan flip-flop ini adalah S (set) dan R (reset), serta dua keluarannya
adalah Q dan Q`. Kondisi keluaran akan tetap ketika kedua masukan R dan S
berlogika 0. Sedangkan pada kondisi masukan R dan S berlogika 1 maka
kedua keluaran akan berlogika 1. Berdasarkan table hasil, pada saat S dan R
bernilai 0 atau dapat dikatakan bahwa lampu S dan R dalam keadaan mati
maka akan terjadi keadaan larangan yaitu keluaran pada Q yang bernilai 1.
Hal tersebut, berarti bahwasanya pada saat keadaan tersebut, nilai kedua
keluaran menjadi tinggi. Pada saat S bernlai 0 dan R bernilai 1 maka akan
mempengaruhi nilai keluaran pada Q yaitu 1 yang berarti pada lampu Q akan
menyala. Hal tersebut dinamakan keadaan set, yaitu keadaan dimana logika 0
mengaktifkan masukan S dan menset keluaran Q normal menjadi 1.
Untuk rangkaian flip-flop SR dari gerbang NOR pada saat S bernilai 1
dan R bernilai 0 maka untuk keluaran Q bernilai 1 dan Q` bernilai 0. Ketika S
dan R bernilai 0 maka untuk keluaran Q bernilai 1 dan Q` bernilai 0. Ketika S
bernilai 0 dan R bernilai 1 maka untuk keluaran Q bernilai 0 dan Q` bernilai
1. Ketika S dan R bernilai 1 maka untuk keluaran Q dan Q` akan bernilai 0
atau terlarang.
Praktikum flip-flop SR berjalan dengan baik dan hasil yang didapatkan
sesuai dengan teori yang berlaku. Dimana pada proses praktikum berlangsung
juga dilakukan dengan proses belajar di dalamnya.

VIII. Kesimpulan
Adapun kesimpulan yang kami dapatkan dari percobaan tersebut adalah
sebagai berikut.

1. Jika S=R=0, maka kedua outputnya Q dan Q’ akan tetap bernilai


sama seperti sebelumnya. Oleh karena itu, operasi ini disebut
memory, karena FF nilainya akan selalu sama dengan keadaan
sebelumnya selama tidak direset. Jika S=0 dan R=1, maka Q akan
berlogika 0 dan Q’ berlogika 1.Oleh karena itu,operasi ini disebut
Reset, karena outputnya direset ke logika 0 kembali. Jika S=1 dan
R=0, maka Q akan berlogika 1 dan Q’ berlogika 0. Oleh karena itu
operasi ini disebut set, karena outputnya di-Set ke logika 1. Jika
S=R=1, maka hasilnya tidak didefinisikan, karena kombinasi ini
akan membuat Q=Q’= 0. Kondisi ini tidak benar, karena Q’
merupakan komplemen dari Q.
2. IC SR Flip-flop yang digunakan adalah IC TTL 7402 (NOR), IC
TTL 7400 (NAND), dan IC TTL 7408 dan 7402 (AND
danNOR).
IX. Daftar Pustaka

Aggarwal , D. (2014). Review Of Flip - Flop. Journal Of Basic And Applied,


1(10), 4-19.

Purba, M. (2010). Perancangan Sistem Pensaklaran Kelistrikan Ac 220v


Dengan Menggunakan Sinar Infra Merah. Jurnal Ilmiah Abdi Ilmu,
3(1), 305-314.

Purnomo, D., Alhamidi, M. R., Wibisono, A., & Tawakal, I. M. (2015).


Investigation Of Flip-Flop Performance On Different Type And
Architecture In Shift Register With Parallel Load Applications. Jurnal
Ilmu Komputer Dan Informasi (Journal Of Computer Science And
Information), 8(2), 87-95.

Budioni, M. (2011). Dasar Teknik Elektro Elektronika. Jogjakarta: Ub Press.

Ogunlere, S. O., & Omotosh, O. J. (2015). Design Of A More Efficient And


Effective Flip Flop To Jk Flip Flop. International Research Journal Of
Engineering And Technology (Irjet), 4(2), 1504 - 1511.

Putri, E., Wardani, K., & Kumala, I. (2018). Rancang Bangun Modul
Pembelajaran Flip-Flop Untuk Mata Kuliah Teknik Digital 2. Jurnal
Jit, 2(2), 1-11.

Shivali, Sharma, S., & Dev, A. (2019). Energy Efficient D Flip-Flop Using
Mtcmos Technique With Static Body Biasing. International Journal Of
Recent Technology And Engineering (Ijrte), 8(1), 1696-1698.

Sinduningrum, E. (2019). Rangkaian Digital Dan Gelombang. Yogyakarta:


Cv Budi Utama.

Suharijanto. (2012). Pemanfaatan Dan Pembuatan Alat Penyediaan Daya


Listrik Secara Otomatis Dengan Menggunakan Inverter 12v Dc
Menjadi 220v Ac. Jurnal Teknika, 4(2), 212-259.

Widjanarka, W. (2006). Teknik Digital. Jakarta: Erlangga.


Widyastuti, & Afandi, H. (2018). Perancangan Diskrit D Flip-Flop
Menggunakan Teknologi Cmos 0.35 µm. Jurnal Pendidikan, 1(1), 199-
2011.
IX. Lampiran

1. Lampiran Hitung

a. Flip-Flop NOR
R S Q Q’ Keterangan
0 1 1 0 -
Keadaan
0 0 1 0
Semula
1 0 0 1 -
Keadaan
0 0 0 1
Semula
0 1 1 0 -
1 1 0 0 Terlarang

b. Flip-Flop NAND
S R Q Q’ Keterangan
0 1 1 0 -
Keadaan
1 1 1 0
Semula
1 0 0 1 -
Keadaan
1 1 0 1
Semula
0 1 1 0 -
0 0 1 1 Terlarang
2. Lampiran Gambar

Gambar rangkaian

Proses pengujian pada rangkaian

Gambar rangkaian

Anda mungkin juga menyukai