Anda di halaman 1dari 18

I.

Judul : S-R Flip-Flop


II. Tujuan :
1. Mengenal, mengerti dan memahami operasi dasar rangkaian S-R Flip-
Flop.
2. Mengenal berbagai macam IC S-R Flip-Flop.

III. Landasan Teori


Menurut Fitriana (2014 : 624-625) Flip-flop adalah sebuah piranti yang
dapat menunjukkan dua keadaan stabil yang berbeda dimana dua keluaran selalu
dalam keadaan yang berlawanan yaitu keadaan flip (level 1) untuk keadaan yang
satu dan keadaan flop (level 0) untuk keadaan yang lain atau sebaliknya. Ada 3
jenis flip-flop, yakni :
1. Flip-flop S-R
Tipe flip-flop yang mempunyai 2 buah masukan S untuk Set dan R untuk
Reset. Berikut kinerja dari rangkaian flip-flop S-R clock :
a. Keluaran Q selalu mengikuti masukan S selama clock = 1 serta masukan
S dan R berbeda.
b. Rangkaian mempunyai 2 metode memori (keluaran Q tetap sesuai
keadaan sebelumnya) bila :
1) Klok = 0 tanpa memperhatikan masukan S dan R
2) Klok = 1, dan R=S=0
c. Kondisi masukan invalid terjadi bila R=S=CLK=1

2. Flip-flop D
Yaitu SR flip-flop yang ditambah dengan suatu inverter pada reset inputnya.
Flip flop ini hanya memiliki 1 masukan D. Berikut cara kerja dari flip flop D :
a. Keluaran Q selalu mengikuti masukan D sepanjang clk=1
b. Flip flop dalam keadaan mode memori sepanjang clk=1
c. Rangkaian tidak memiliki kondisi invalid.

3. Flip-flop J-K
Flip-flop yang dibangun dari rangkaian dasar flip flop S-R dengan
menambanhkan gerbang AND pada masukan S dan R serta dilengkapi
dengan rangkaian diferensiator pembentuk denyut pulsa clock. Berikut cara
kerja dari flip flop J-K :
a. Pada saat J=0 dan K=0 atau keduanya berlogik low, gerbang AND tidak
memberikan tanggapan sehingga keluaran Q tetap bertahan pada keadaan
terakhirnya.
b. Pada saat J=0 dan K=1 atau ketika J berlogika low dan K berlogika high,
maka flip flop akan diseret hingga diperoleh keluaran Q=0.
c. Pada saat J=1 dan K=0 atau ketika J berlogika high dan K berlogika low,
maka masukan ini akan mngeret flip flop hingga diperoleh keluaran Q=1.
d. Pada masukan J dan K keduanya tinggi, maka flip flop berada dalam
keadaan toggle, artinya keluaran Q akan berpindah pada keadaan lawan
jika pinggiran pulsa clocknya tiba.
Flip-flop adalah rangkaian digital yang mampu menyimpan sementara 1
bit masukannya sampai ada perintah untuk mengganti isi bit masukan yang
disimpan tersebut. D Flip flop adalah salah satu jenis flip flop yang dibangun
dengan menggunakan flip flop RS. Flip flop RS memiliki 2 masukan R dan S,
sedangkan pada D flip flop memiliki hanya 1 pada berbagai bidang. Beberapa
bidang yang memanfaatkan D flip flop pada aplikasinya adalah pengiriman daya,
infrastruktur jaringan, medis, kesehatan, penerangan, sistem otomasi gedung,
infrastruktur komunikasi, pengukuran (Widyastuti, 2018 : 199).

According to Shivali (2019 : 1696) Flip-flops are the basic unit for
creation for the digital models. Each flip flop performs the storage of one bit. Flip
flop is always clocked. Flip flop is either positive edge triggered or negative edge
triggered which implies that the input affects the output when the clock is going
low-to-high or high-to-low, respectively. Flip flops are called single edge
triggered flip-flop when the data storage is done either on rising or on falling edge
of the clock. Also, they are known as dual edge triggered when the data storage is
done on both falling as well as rising edge of the clock. There are different types
of flip flop. These are SR flip flop, JK flip flop, D flip flop and T flip flop. D flip
flop is used in many applications like processors and as element for storage
purposes. D flip flop can be constructed by using universal gates. However, the
main use of it is to include proper timing delay. The other names for this are 'Data
flip flop' and 'Delay flip flop'. The symbol for this is given below. One data input
and one control input is there in this as reflected in figure below.

Flip-flop adalah suatu rangkaian elektronika yang memiliki dua kondisi


stabil dan dapat digunakan untuk menyimpan informasi. Unsur penyimpan yang
digunakan dalam rangkaian urutan menurut waktu adalah flip-flop. Rangkaian
tersebut merupakan sel biner yang dapat menyimpan satu bit informasi. Suatu
rangkaian flip-flop mempunyai dua keluaran, satu dengan nilai normal dan yang
lain adalah nilai komplemen bit menjadi nol. Jadi, suatu masukan 1 pada salah
satu kutubnya akan menghasilkan 0 pada keluarannya. Saklar itu merupakan suatu
gerbang NOR dan flip-flop pada gambar tersebut dibentuk dengan dua gerbang
semacam itu (Mismail, 2011 : 615-617).

Menurut Putri (2018 : 3-6) Flip flop adalah suatu rangkaian gabungan
gerbang-gerbang logika menjadi gerbang logika kombinasional dan kemudian di
umpan balikkan yang dapat menyimpan data. Simbol flip flop ditunjukkan pada
gambar 2.3. mempelajari rangkaian penyimpanan yang akan menahan (atau
mengingat) data dalam sebuah keadaan digital yaitu 0 dan 1. Tiap elemen mampu
menyimpan 1 bit data biner, yang dinyatakan dalam sistem biner yaitu 0 dan 1.

a. Flip flop RS NOR : input R dalam keadaan 0 dan dalam keadaan 1


memberikan keadaan set. Sedangkan apabila R tinggi S rendah maka keadaan
akan menjadi reset. Lainnya bila set dalam keadaan 1 dan reset dalam
keadaan 1, maka akan terjadi keadaan pacu. Rangkaian flip flop RS NOR
ditunjukkan pada gambar 2.4. tabel kebenaran dapat dilihat pada tabel 2..1.
b. Flip flop RS NAND

c. Flip fop RS Clock

d. Flip fop D tanpa enable dan clock

e. Flip flop D dengan enabel


f. Flip flip D dengan clock

g. Flip flop JK pemicu Tepi

h. Flip flop JK Master-Slave

i. Flip flop T (toggle)

According to Purnomo (2015 : 87) To build a computer, regardless the


type, the utili- zation of registers cannot be overlooked. Register grasps key role
in the computer organisation, i.e. to store the state and to load it when necessary.
One of the foremost component inside the register is flip-flop. Flip-flop is the
assembly of several gates, that function to reserve the logical states which is
evoked by any data input signal as a response to clock pulses . Flip-flop is
employed to sequentially, during predetermi-ned clock interval. The storage is
necessary to pur-vey adequate limited time period needed by other components
inside the system.
There are various kind of flip flop that exist inside the IC which is
provided in the market. The selection of the flip flop to be used depends upon
several criteria. Flip flop which exist inside the IC comprising D-Flip Flop, T-Flip
Flop, SR-Flip Flop, and JK-Flip Flop.
Salah satu rangkaian yang dapat membangkitkan sinyal digital adalah
rangkaian Flip flop (FF) dalam multivibrator. Rangkaian Flip flop (FF)
merupakan suatau rangkaian yang terdiri dari dua elemen aktif yang bekerja
secara bergantian. Rangkaian ini berfungsi sebagai pencacah pulsa, menyimpan
bilangan biner, dan mensikronisasikan suatu rangkaian aritmatika. Pemahaman
terhadap rangkaian Flip flop (FF) ini sangat penting karena Flip Flop (FF)
merupakan suatu sel memori. Keadaan keluaran flip flop dapat berbeda dalam
keadaan tinggi atau keadaan rendah, untuk selang waktu yang dikehendaki.
Biasanya untuk mengubah keadaan tersebut diperlukan suatu masukakn pemicu.
Sebuah flip flop mempunya sebuah input pengendali (triggering), yang disebut
dengan input waktu (clock), yang melakukan sinkronisasi perubahan dua keadaan
tersebut dengan pulsa waktu. Flip flop dapat mengubah keadaan pada sisi positif
atau negatif dari pulsa waktu (Ramadhan, 2019 : 91-92).
According to Singh (2014 : 1) Flip-flops are the key elements used in
sequential digital systems. The appropriate selection of flip-flop topologies is
instrumental in the design of VLSI integrated circuits such as microprocessors,
microcontrollers, and other high complexity chips. However, factors such as high
performance, low power, transistor count, clock load, design robustness, delay,
and power-delay, sidered before choosing a particular flip-flop design. The
highest operating frequency of determined by the flip-flops. Flip-flops and clock
distribution power-area tradeoffs are generally con- digital systems network
generally account for 30-70% of the total chip power 21. Clock Joad is another
major concern consumption for digital system designers and several contributions
have been reported in the past to reduce clock load and the, associated power
dissipation in the clocking network. A design with elevated transistor count
occupies a larger area on chip and leads to an increase in the overall man-
ufacturing cost. Hence, design and implementation of low power high
performance flip-flops with the least possible chip area is the main target of the
modern chip manufacturing industry.
Flip-flops are broadly cdassified into three main cate- gories, namely,
master-slave, pulse triggered, and differential flip-flops. Among them, master-
slave and pulse-triggered flip-flops are the most efficient in terms of power-delay
product. Master-slave flip-flops exhibit positive (negative) set-up time (hold time)
requirements not suitable for high speed systems due output delays. But they are
power efficient and can be used in low power applications. However, their main
limitation is less robustness to clock skew. Pulse-triggered flip-flops have
negative set-up time and thus lead to smaller data to output delay. They exhibit
inherent soft cdock edge property which minimizes clock skew related cycle time
loss. and hence extended data to ouput delay.

Flip-flop merupakan piranti yang memiliki dua keadaan stabil. Piranti ini
akan tetap bertahan pada salah satu dari kedua keadaan itu sampai ada pemicu
yang membuatnya berganti keadaan. Masing-masing jenis flip-flop mempunyai
lambang tersendiri, yang satu sama lainnya berbeda. Tetapi semuanya memiliki
dasar lambang yang sama, yaitu sebuah kotak dengan garis di depan berupa
masukan (input) dan garis di belakang keluaran (output). Sedangkan kotak itu
sendiri berisi rangkaian flip-flop. Piranti flip-flop sering juga disebut dengan
Pembangkit-getar Jamak-Dua keadaan atau Multivibrator Bistabil. Flip-flop SR
disebut juga Penahan Transparan (Transparent Latches), karena keluaran flip-flop
langsung menyebabkan terjadinya perubahan terhadap masukannya. Perubahan
yang cepat disebabkan karena flip-flop SR langsung menanggapi perubahan
sinyal pada bagian masukan sehingga keluaran Q akan langsung berubah sejalan
dengan perubahan masukan (Widjanarka, 2010 : 193-194).

Menurut Singgih (2017 : 100-101), rangkaian dasar multivibrator dibagi


dalam tiga kategori sesuai fungsinya :
1. Rangkaian Bistabil multivibrator atau disebut juga flip-flop.
2. Rangkaian Monostabil multivibrator atau disebut juga single-shot atau
One-shot.
3. Dan rangkaian Astabil multivibrator atau disebut juga Clock atau
Oscillator.

Rangkaian Flip-flop disingkat dengan FF’ merupakan suatu rangkaian logika yang
memiliki dua-input dan dua-output, symbol FF seperti ditunjukkan dalam gambar.
Output dari sebuah rangkaian FF dinotasikan sebagai Q dan Q, dimana Q
merupakan komplemen dari Q (kebalikan) yang dapat dijelaskan sebagai berikut :

1. Apabila output dlaam kondisi SET, artinya output : Q =1, maka Q= 0 dan
2. Apabila output dalam kondisi RESET, artinya output Q = 0, maka Q = 1

RS flip-flop atau kepanjangan dari SET/RESET Flip-flop merupakan dasar dari


rangkaian FF yang dapat dibangun dari dua NOR-gate atau NAND-gate.

IV. Alat dan Bahan


1. Power Supply.
2. Jumper.
3. Kabel Secukupnya.
4. LED.
5. IC-TTL 7402, 7400, 7408.

V. Prosedur Percobaan
a. Flip-flop SR dengan gerbang NOR
1. Dipastikan catu daya dalam posisi OFF. Pasangkan IC TTL 7402
(NOR) pada projectboard. Pasangkan kabel untuk memberi catu
daya pada IC tersebut.
2. Disusun rangkaian seperti pada gambar. Sinyal-sinyal masukan
dihubungkan dengan saklar-saklar masukan, dan sinyal-sinyal
keluaran dengan peraga LED.
3. Divariasikan nilai masukan R dan S berurutan seperti yang tertera
pada tabel, dan amati keluarannya. Tuliskan hasil pengamatan pada
tabel yang telah disediakan.

R S Q Q BAR
0 1 - -
0 0 - -
1 0 - -
0 0 - -
0 1 - -
1 1 - -

b. Flip-Flop SR dengan gerbang NAND


1. Dipastikan catu daya dalam posisi OFF. Pasangkan IC TTL 7400
(NAND) pada projectboard. Pasangkan kabel untuk memberi catu
daya pada IC tersebut.

2. Disusun rangkaian seperti pada gambar. Sinyal-sinyal masukan


dihubungkan dengan saklar-saklar masukan, dan sinyal-sinyal
keluaran dengan peraga LED.

3. Divariasikan nilai masukan R dan S berurutan seperti yang tertera


pada tabel, dan amati keluarannya. Tuliskan hasil pengamatan pada
tabel yang telah disediakan.
S R Q Q BAR
0 1 - -
1 1 - -
1 0 - -
1 1 - -
0 1 - -
0 0 - -
c. Flip-Flop SR Clocked dari gerbang NOR
1. Dipastikan catu daya dalam posisi OFF. Pasangkan IC TTL 7408
dan 7402 (AND dan NOR) pada projectboard. Pasangkan kabel
untuk memberi catu daya pada IC tersebut.
2. Disusun rangkaian seperti pada gambar. Sinyal-sinyal masukan
dihubungkan dengan saklar-saklar masukan, dan sinyal-sinyal
keluaran dengan peraga LED.

3. Divariasikan nilai masukan R dan S berurutan seperti yang tertera


pada tabel, dan amati keluarannya. Tuliskan hasil pengamatan pada
tabel yang telah disediakan.
CK S R a b Q Q BAR
1 0 1 - - - -
0 0 0 - - - -
1 1 0 - - - -
0 0 1 - - - -
0 1 0 - - - -
0 1 1 - - - -
1 0 0 - - - -
1 1 1 - - - -
VI. Hasil
6.1 NOR Gate
R S Q Q’
0 1 1 0
1 (keadaan 0(keadaan
0 0 sebelumnya setelahnya)
)
1 0 0 1
0(keadaan 1(keadaan
0 0 sebelumnya setelahnya)
)
0 1 1 0
0(keadaan 0(keadaan
1 1
terlarang) terlarang)

6.2 NAND Gate

R S Q Q’
0 1 1 0
1 (keadaan 0(keadaan
1 1 sebelumnya setelahnya)
)
1 0 0 1
0(keadaan 1(keadaan
1 1 sebelumnya setelahnya)
)
0 1 1 0
1(keadaan 1(keadaan
0 0
terlarang) terlarang)

VII. Pembahasan
Flip flop adalah suatu rangkaian gabungan gerbang-gerbang logika
menjadi gerbang logika kombinasional dan kemudian di umpan
balikkan yang dapat menyimpan data. Suatu rangkaian flip-flop
mempunyai dua keluaran, satu dengan nilai normal dan yang lain
adalah nilai komplemen bit menjadi nol. Jadi, suatu masukan 1 pada
salah satu kutubnya akan menghasilkan 0 pada keluarannya.
Pada praktikum kali ini, kami melakukan prcobaan mengenai S-R
Flip-flop. S-R adalah singkatan dari “Set” dan “Reset”. Sesuai dengan
namanya, S-R Flip-flop ini terdiri dari dua masukan (INPUT) yaitu S
dan R.  S-R Flip-flop ini juga terdapat dua Keluaran (OUTPUT) yaitu
Q dan Q’. Rangkaian S-R Flip-flop ini umumnya terbuat dari 2
gerbang logika NOR ataupun 2 gerbang logika NAND. Ada juga S-R
Flip-flop yang terbuat dari gabungan 2 gerbang Logika NOR dan
NAND.
Percobaan pertama yang kami lakukan adalah pada NOR gate.
Pada gerbang NOR ini kami menggunakan IC TTL7402. Hasil yang
kami dapatkan dari percobaan ini sesuai dengan teori table kebenaran
dimana pada saat R= 0, S= 1, nilai Q= 1 dan Q’= 0, pada saat R= 0, S
= 0, nilai Q = 1 (keadaan sebelumnya) dan Q’= 0 (keadaan setelahnya),
kondisi ini disebut menyimpan karna tidak ada perubahan nilai
output,  pada saat R = 1, S = 1, nilai Q = 0 dan Q’= 0 sehingga kondisi
ini disebut terlarang, pada saat R = 1, S = 0, nilai Q = 0 dan Q’= 1.
Percobaan kedua yang kami lakukan adalah pada gerbang NAND.
Pada gerbang NAND ini kami menggunakan IC TTL7400. Hasil yang
kami dapatkan sesuai dengan teori table kebenaran dimana pada saat
R= 0, S= 1, nilai Q= 1 dan Q’= 0, pada saat R= 1, S = 1, nilai Q = 1
(keadaan sebelumnya) dan Q’= 0 (keadaan setelahnya),  pada saat R =
1, S = 0, nilai Q = 0 dan Q’= 1, pada saat R = 0, S = 0, nilai Q = 1 dan
Q’= 1 sehingga kondisi ini disebut keadaan terlarang.
Cara kerja RS flip-flop adalah menyimpan bit melalui tombol set
(S) dan mereset bit melalui tombol reset (R). Rangkaian berikut
merupakan rangkaian inti dari RS flip-flop. RS flip-flop dapat dibuat
dengan menyusun 2 buah gerbang nand atau sering disebut juga
dengan Nand Gate Latch. Rangkaian nand gate latch merupakan inti
dasar dari flip-flop.

VIII. Kesimpulan
Dari percobaan yang telah dilakukan dapat disimpulkan bahwa :

1. Operasi dasar RS flip-flop adalah menyimpan bit melalui tombol


set (S) dan mereset bit melalui tombol reset (R).

2. IC yang digunakan untuk percobaan SR Flip-flop adalah IC TTL


7400, 7402, dan 7408.
DAFTAR PUSTAKA

Fitriana, Yulia dan Buditajhjanto, I.G.P. Asto. (2014). Pembangunan Buku Suku
Pada Materi Memahami Rangkaian Flip Flop Kelas X di SMK Negeri 3
Buduran Siduarjo. Jurnal Pendidikan Teknik Elektro. Vol 3. No 3. Hal
623-626.

Mismail, Budiono. (2011). Dasar Teknik Elektro. Malang : Universitas Brawijaya


Press (UB Press).

Purnomo, Dwi M J., dkk. (2015). Investigation of Flip-flop Performance Different


Type and Architecture in Shift Register With Parallel Load Applications.
Journal of Computer Science and Information. Vol 8. No 2. Hal 87-95.

Putri, Ella Prihatin D., Wardani, Kholilatul., Kumala, Irvania S. (2018). Rancang
Bangun Modul Pembelajaran Flip-flop untuk Mata Kuliah Teknik Digital.
Jurnal Informasi Teknologi (JIT). Vol 2. No 2. Hal 1-11.

Ramadhan, Ahmad. (2019). Analisis Pembangkit 3 Fasa dengan Virtual


Instrument. Journal of Electrical Power, Instrumentation and Control
(EPIC). Vol 2. No 1. ISSN 2615-0646.

Singgih, Hariyadi. 2017. Elektronika Digital 1Edisi Revisi Prinsip & Pemakaian.
Malang : POLINEMA PRESS

Singh, Kunwar., Tiwari, Satish Chandra., Gupta, Maneesha. (2014). A Modified


Implementation of Tristate Inverter Based Static Master-Slave Flip Flop
with Improved Power-Delay-Area Product. The Scientific World Journal.
Vol . No . Hal 1-15.

Shivali., Sharma, Shibha., Dev, Amita. (2019). Energy Efficient D Flip Flop using
MTCMOS Technique with Static Body Baising. International Journal of
Recent Technology and Engineering (IJRTE). Vol 8. No 1. ISSN 2277-
3878.

Widjanarka, Wijaya. 2006. Teknik Digital. Jakarta : Erlangga

Widyastuti., dkk. (2018). Perancangan Diskrit D Flip Flop Menggunakan


Teknologi CMOS 0.35 m. Seminar Nasional Edusaintek. ISBN 978-602-
5614-35-4.
LAMPIRAN
1. Lampiran Gambar

Dirangkai komponen Dilakukan percobaan


pada gerrbang NOR

Dilakukan percobaan
pada gerbang NAND

Anda mungkin juga menyukai