Anda di halaman 1dari 24

LAPORAN PRAKTIKUM

DASAR- DASAR FLIP FLOP

Disusun oleh :

Raisya Auliya Salsabila (181331028)


Siti Kurniati (181331029)

D3 Teknik Telekomunikasi-1A

Tanggal Praktikum : Senin, 24 Juni2019


Tanggal Pengumpulan :Kamis, 27 Juni2019
Instruktur : Mina NaidahGani, ST., M.Eng.
Maya Rahayu S.Pd. M.T.

POLITEKNIK NEGERI BANDUNG


2019
Dasar - Dasar Flip Flop

I. Tujuan :Agar mahasiswa mampu memahami cara kerja serta mampu membuat rangkaian
flip-flop.

II. Dasar Teori :

Flip-Flop piranti dasar untuk menyimpan informasi pada sistem digital. Piranti ini selalu
mempertahankan keadaan biner selama ada daya yang masuk. Ada beberapa tipe Flip-Flop yang
berbeda, tergantung implementasi sirkuit. Namun, seluruh tipe Flip-Flop memiliki karakteristik,
yaitu seluruh Flip-Flop adalah piranti bistable ;yaitu piranti yang memiliki dua output stabil. Tipe
Flip-Flop yang paling dasa radalah latches, yang merespon perubahan masukan yang hanya pada
saat transisi sinyal masukan kontrol (sinyal clock). SR latch memiliki dua input, yaitu S dan R,
sertadua output, yaitu Q dan Q'

Dapat disimpulkan bahwa kombinasi S = 0 dan R = 0 menghasilkan output yang


samadengan output sebelumnya. Notasi p menyatakan previous atau sebelumnya. Kombinasi S =
1 dan R = 1 tidak didefinisikan karena akan menghasilkan Q dan Q' = 0, sehingga melanggar
aturan Q dan Q' yang nilai nya harus berlawanan(Zuhal,2004).
Prinsip kerja dari rangkaian Flip-Flop dibandingkan dengan prinsip dari kerja transistor sebagai
saklar adalah sama, yaitu apabila rangkaiannya diberi tegangan maka salah satu dari kondisi
transistor nya menjadi hidup. Keadaan ini pula memiliki ketergantungan kepada kapasitor yang
memiliki ketinggian muatan yang lebih jika dibandingkan dengan komponen lainnya. Bila lebih
diperinci lagi, sebuah kapasitor yang ketinggian muatannya lebih akan menyebabkan lepasnya
muatan listrik lebih dulu kemudian terjadi hubungan antara kaki transistor dengan kapasitor yang
kondisinya ON(Widjanarka,2006).
Flip flop SR terlonceng dapat dirangkai dari Flip-Flop SR ditambah dengan dua gerbang AND
atau NAND untuk memasukan pemicu yang disebut dengan sinyal clock

Dari gambar tersebut terlihat bahwa untuk sinyal clock yang rendah, keluaran Q akantetap
"terkunci" pada nilai terakhirnya. Dalam hal ini dapat dikatakan bahwa pada saat keadaan clock
rendah, sinyal masukan D tidak mempengaruhi keluaran. Sedangkan untuk sinyal clock tinggi
(Ck = 1), makadi peroleh keluaran yang sesuai dengan data D yang masuk saat itu(Kleitz,20).
Flip-Flop JK merupakan penyempurnaan dari Flip-Flop RS terutama untuk mengatasi masalah
osilasi, yaitu dengan adanya umpan balik, serta masalah kondisi terlarang, yaitu pada kondisi
masukan J dan K berlogika 1 yang akan membuat kondisi keluaran menjadi berlawanan dengan
kondisi keluaran sebelumnya atau dikenal dengan istilah toggle(Beshop,2004).
Beberapa penerapan yang pentingdari Flip-Flop adalah Flip-Flop dapat digunakan sebagai
bagian dari rangkaian memori, utnuk menghapus getaran tombol, sebagai bangunan penghalang
pada rangkaian sekuensial seperti counter dan register, dan sebagai rangkaian penunda (delay).
Lebih lengkap nya mengenai aplikasi Flip-Flop sebagai debounce eliminator atau penghilang
getaran adalah sebagai berikut. Untuk pedoman interfacing kesistem digital, biasanya tombol
dorong (push button key) digunakan. Tombol ini ketika ditekan beberapa saat, maka terja dibuka
dan tutupnya saklar sebelum terjadinya pembacaan yang stabil. Masalah ini disebut sebagai key
debounce. Masalah ini tidak diinginkan dan harus dihindari(Godse,2009).
Penahan D dengan Sinyal
Kendali Enable Tanpa Sinyal Pendetak

Penahan D jenis ini dapat dibentuk dengan menambahkan Inverter pada sebuah flip flop gerbang
SR, rangkaian ini menggunakan gerbang NOT, NAND dan Inverter. Untuk alur masukan data,
SET mendapatkan masukan langsung dari gerbang NOT yang terhubung langsung dengan
masukan data kemudian melewati gerbang NAND kemudian baru menghasilkan keluaran.
Sedangkan untuk RESET masukan yang sampai padanya telah melalui gerbang inverter dan
gerbang NOT sebelum sampai pada RESET, kemudian masukan diteruskan pada gerbang
NAND sebelum menghasilkan keluaran. Dalam penggunaannya masukan D dan keluaran Q akan
selalu sama selama Enable bernilai 1, namun saat Enable benilai 0 maka masukan D terakhir
yang terbaca saat Enable 1 akan ditahan, dan perubahan pada masukan D tidak akan di proses.

Penahan D dengan Sinyal Pendetak

Cara mudah untuk memahami penahan D dengan sinyal pendetak ini adalah dengan mengganti
kendali Enable dangan sinyal pendetak (clock). prinsip kerja dari penahan D dengan sinyal
pendetak pun sama dengan prinsip kerja penahan D dengan kendali Enable. Namun pada
pengoperasiannya terdapat sedikit perbedaan, jika ada penahan D dengan kendali pendetak kita
dapat mengendalikan batasan waktu, pada penahan D sinyal pendetak kita harus mengikuti laju
dari sinyal pendetak. Rangkaian D dengan sinyal pendetak memiliki 2 masukan, yaitu masukan
D dan detak (clock)

III. Alat dan Komponen


Alat dan Komponen
Protoboard

Resistor dan LED

IC 7402 ( 1buah )
IC 7400 ( 1 buah )
IC 7406 ( 1 buah )
IC 7408 ( 1 buah)
IC 7414 ( 1 buah )
IC 7406 ( 1 buah )
Kabel dan Jumper

Power Supply

Kapasitor 200µF
Potensiometer 100 KΩ

IV. LangkahKerja
SR- Latch (darigerbang NOR) dan SR-Latch (darigerbang NAND)
1. Siapkanalat dan komponen yang dibutuhkan
2. Pasang IC pada protoboard
3. Lalu buatlah rangkaian seperti gambar dibawah ini

4. Ujilahrangkain SR-latch dan dengan table kebenaran yang ada


5. Perhatikan hasil praktikum dengan melihat LED yang mati dan nyala
6. Catat hasil praktikum
SR – Latch Enable
1. Siapkanalat dan komponen yang dibutuhkan
2. Pasang IC yang digunakandalammembuatrangkaian
3. Lalubuatlahrangkaian di bawahini

4. Amati praktikum dengan melihat LED yang menyala dan mati


5. Catat hasil pengamatan
Clock Generator
1. Siapkan alat dan komponen yang dibutuhkan
2. Pasang IC yang digunakan dalam membuat rangkaian
3. Lalu buatlah rangkaian di bawah ini

4. ujilahrangkaian clock generator dengan tabel kebenaran yang ada


5. Amati hasil dari praktikum, dan ukurlah tegangan yang dihasilkan jika led mati dan nyala
6. buatlah grafik dari pengukuran tegangan yang telah di ukur sebelumnya
7. Amati dan catat hasil percobaan
V. Hasil Pengamatan
1. Rangkain SR-Latch (darigerbangNor)

R S Q Q'

0 1 1 0
0 0 1 0
1 0 0 1
0 0 0 1
1 1 0 0
0 0 0 1
0 1 1 0
0 0 1 0
2. Rangkaian SR-Latch (darigerbang NAND)

R S Q Q'

0 1 1 0
1 1 1 0
1 0 0 1
1 1 0 1
0 0 1 1
1 1 0 1
0 1 1 0
1 1 1 0

3. Rangakain enable NAND



Tabel Kebenaran

Enable R S Q Q'

0 0 0 1 0
0 1 1 0
1 0 1 0
1 1 1 0
1 0 1 1 0
0 0 1 0
1 0 0 1
0 0 0 1
1 1 0 0
0 0 0 1
0 1 1 0
4. Flip - flop D dari gerbang NOR
0 0 1 0
5. Clock Generator

VI. Analisis Data


6.1 Analisisrangkaian SR - Latch ( Dari gerbang NOR )

1. Rangkaian dalam keadaan set


Gambar diatas merupakan rangkaian latch set-reset flip flop dengangerbang NOR. Pada
saat keadaan set, nilai S = 1 dan R = 0 sehingga latch diset ke keadaan dimana Q = 1 dan
Q' = 0. Hal tersebut terbukti dari lampu merah yang menyala sebagai output (Q).

2. Rangkaian dalam keadaan reset


Gambar diatas merupakan rangkaian latch set-reset flip flop dengan gerbang NOR pada
saat keadaan reset. Nilai S = 0 dan R = 1 sehingga latch diset ke keadaan dimana Q = 0
dan Q' = 1. Hal tersebut terbukti dari lampu kuning yang menyala sebagai output (Q').

3. Rangkaian dalam keadaan memory

Gambar diatas merupakan rangkaian latch set-reset flip flop pada saat keadaan tidak
berubah (terkunci). Nilai S = R = 1 sehingga rangkaian berada dalam keadaan memory.
Baik( Q = 0 dan Q' = 1) atau (Q = 1, Q=0 )tergantung keadaan set. Hal tersebut terbukti
dari lampu merah yang menyala sebagai output (Q). Pada praktikum kali ini output yang
dihasilkan adalah Q=1 dan Q' = 0, dimana keadaan tidak berubah dari keadaan set.

4. Rangkaian dalam keadaan avoid


Gambar diatas merupakan rangkaian latch set-reset flip flop dengan gerbang NOR pada
saat keadaan avoid dimana nilai S = 0 dan R = 0 sehingga pada rangkaian terjadi kondisi
race dimana Q = 0 dan Q' = 0 yang dibuktikan oleh kedua lampu yang mati sebagai
output (Q dan Q').

6.2 Analisisrangkaian SR - Latch (Dari gerbang NAND)

1. Rangkaian dalam keadaan set


Gambar diatas merupakan rangkaian latch set-reset flip flop dengan gerbang NAND.
Pada saat keadaan set, nilai S = 0 dan R = 1 sehingga latch diset ke keadaan dimana Q
= 1 dan Q' = 0. Hal tersebut terbukti dari lampu merah yang menyala sebagai output
(Q).
2. Rangkaian dalam keadaan reset

Gambar diatas merupakan rangkaian latch set-reset flip flop dengan gerbang NAND
pada saat keadaan reset. Nilai S = 1 dan R = 0 sehingga latch diset ke keadaan dimana
Q = 0 dan Q' = 1. Hal tersebut terbukti dari lampu kuning yang menyala sebagai
output (Q').

3. Rangkaian dalam keadaan memory


Gambar diatas merupakan rangkaian latch set-reset flip flop dengan gerbang NOR
pada saat keadaan tidak berubah (terkunci). Nilai S = R = 1 sehingga rangkaian
berada dalam keadaan memory. Baik( Q = 0 dan Q' = 1) atau (Q = 1, Q=0 )tergantung
keadaan set. Hal tersebut terbukti dari lampu merah yang menyala sebagai output (Q).
Pada praktikum kali ini output yang dihasilkan adalah Q=1 dan Q' = 1, dimana
keadaan tidak berubah dari keadaan set.

4. Rangkaian dalam keadaan avoid


Gambar diatas merupakan rangkaian latch set-reset flip flop dengan gerbang NAND
pada saat keadaan avoid dimananilai S = 1 dan R = 1 sehingga pada rangkaian terjadi
kondisi race dimana Q = 0 dan Q' = 0 yang dibuktikan oleh kedua lampu yang mati
sebagi output (Q dan Q').

6.3 Analisis rangkaian enable


Gambar diatas merupakan rangkaian jenis lain dari SR - Latch yaitu, SR - Latch
dengan enable. Variabel C pada gambar rangkaian menunjukan enable. SR Latch
akanaktif pada saat Enable bernilai "1", sedangkansaat enable bernilai "0", maka
otomatis S-R Latch tidak akan bekerja.
Saat clock enable berpindah dari 0 ke 1 disebut positive edge transition.
sedangkan, jika sebaliknya dimana clock berpindah dari 1 ke 0 mama disebut
negative edge transition.
Karena, enable berfungsi untuk mengontrol output dari rangkaian set-riset flip
flop sehingga dapat disimpulkan bahwa nilai output yang dihasilkan oleh

rangkaian set riset flip flop dengan


menggunakan enable dapat berubah
sesuai dengan peng- aktifan input
enable nya.

6.4 Analisis rangkaian flip flop D dari gerbang


NOR
Input control dari D latch diberi label C, terkadang
disebut sebagai ENABLE, CLK,
atau G. Pada rangkaian ini disebut enable yang bekerja
secara aktif low. Ketika input enable C dimasukkan,
output Q yang ditampilkan sesuai dengan
input d yang dimasukkan. Pada keadaan ini dikatakan
latch dalam kondisi terbuka dan pembentukkan D input ke output Q adalah transparan.
rangkaian ini sering disebut sebagai transparan latch. Ketika C diberikan logika sebaliknya
maka latch akan tertutup. Dan output Q berada pada nilai terakhir dan tidak
akan berubah walaupun nilai D berubah.

6.5 Rangkaian Set - Riser Flip flop dengan clock generator

Pada rangkaian clock generator hasil praktikum terlihat dari LED yang dapat menyala dan
mati sendiri. Pada praktikum ini jika LED pada level 0 tegangannya lebih tinggi dibanding
LED level 1. clock hanya berpengaruh terhadap input S dan R , dalam hal ini input R dan S
akan memberikan pengaruh pada watak flip-flop jika ada input clock. Flip Flop akan
mengubah keadaan hanya apabila suatu sinyal diberikan kepada clock inputnya (disingkat
CLK atau C ) melakukan suatu transisi dari 0 ke 1. Input-input S dan R mengontrol keadaan
FF dengan cara yang sama seperti yang diuraikan pada SR FF dasar (tanpa clock), tetapi FF
tersebut tidak akan memberikan respon kepada input ini sampai saat terjadinya transisi sisi
naik dari pulsa clock.

VII. Kesimpulan
Pada praktikum ini rangkaian SR-Latch dan Flip-Flop merupakan rangkaian sekuensial dimana
kondisi keluarannya dipengaruhi oleh masukan dan keadaan keluaran sebelumnya atau dapat
dikatakan rangkaian yang bekerja berdasarkan urutan waktu. Rangakain SR-latch ini merupakan
SET-RESET LATCH yang merupakan penyimpana satu bit biner. Terdiri dari 0 dan 1. SR latch dapat
NOR atau NAND saja. Rangkaian ini memiliki beberapa kondisi yaitu set, memory, reset dan avoid.
Dan outptut keluaannya terlihat pada LED jika outputnya 0 maka LED dalam keadaan mati dan jika
outputnya 1 maka LED nya akan menyala.Dalam rangakaian ini juga SET dan RESET dijadikan
sebagai input dan outptunya dinamakan Q dan Q’. Sedangkan rangakain SR LATCH enable
pengaplikasiannya mengggunakan dua IC yaitu AND dengan NAND atau AND dengan NOR. Pada
rangkaian ini terdapat 3 input yaitu S, R dan C juga terdapat 2 output yaitu Q dan Q’.
Flip-flop S-R pada dasarnya merupakan piranti asinkron, artinya tidak beroperasi serempak dengan
detak (clock) atau piranti pewaktu. Bila flip-flop dioperasikan secara serempak dengan detak (clock),
maka flip-flop jenis ini disebut sebagai piranti sinkron. Kebanyakan piranti digital yang kompleks
beroperasi sebagai sistem sekuensial sinkron. Hal ini menyatakan bahwa, sinyal detak master
dikirimkan kepada seluruh bagian sistem tersebut untuk mengkoordinasikan operasinya.
VIII. Daftar Pustaka
 Alvionita, Nadia Diodkk. 2018. “Rangkaian Flip-Flop LED Cube 3x3”.
https://www.coursehero.com/file/31294987/FLIP-FLOP-CUBE-3X3-LAPORAN-
ELEKTRONIKA-DASAR-IIdocx/. (31 Agustus 2019)

 Faradis, ArinaWiddadkk. 2014. “LaporanPraktikumElektronika Digital Flip-Flop”.


https://www.academia.edu/22116090/LAPORAN_PRAKTIKUM_ELEKTRONIKA_DIGIT
AL_-_FLIP_FLOP (31Agustus 2019)

 https://matkul.xyz/memahami-rangkaian-sekuensial-dan-macam-macam-flip-
flop/
 https://www.google.com/search?safe=strict&hl=en-GB&source=android-
browser&ei=WdV4XYuvL8359QPyqaroBA&q=clock+generator+set+riset+flip+flop+mat
eri&oq=clock+generator+set+riset+flip+flop+materi&gs_l=mobile-gws-wiz-
serp.3..33i10.434333.445534..445855...1.1..0.185.1603.0j11......0....1.........0i71j30i10.6
2Q_INRir60
 https://www.electronics-tutorials.ws/sequential/seq_1.html
 https://www.google.com/search?q=power+supply&safe=strict&hl=en-
GB&source=android-
browser&prmd=insv&source=lnms&tbm=isch&sa=X&ved=2ahUKEwigi8m798fkA
hXCrY8KHfnkDmAQ_AUoAXoECA0QAQ
IX.Dokumentasi

Anda mungkin juga menyukai