Anda di halaman 1dari 23

LAPORAN PRAKTIKUM ELEKTRONIKA DIGITAL

PERCOBAAN V : DECODER DAN DISPLAY

Nama : Qonita Falih Reswara


NIM : 42219045
Kelas : 2B D4 TRJT

Politeknik Negeri Ujung Pandang

Tahun Akademik 2019/2020


Percobaan V
Dekoder dan Display

I. TUJUAN PERCOBAAN
 Mengetahui prinsip kerja decoder
 Dapat mendesain suatu decoder
 Dapat membangun sebuah dekoder

II. DAFTAR ALAT/KOMPONEN


Alat/Komponen Jumlah
IC 7404 (NOT Gate) 2 buah
IC 7408 (ANDGate) 2 buah
IC 7486 (Ex-OR Gate) 2 buah
IC 7447 2 buah
IC 7490 2 buah
Seven Segment 2 buah
Trainer Digital 1 buah
Multimeter 1 buah
BNC to Crocodile 1 buah
Kabel Penghubung Secukupnya

III. TEORI DASAR


Dekoder adalah sebuah rangkaian logika yang mengubah suatu kode input biner N-bit
menjadi biner M-bit, dimana output terhubung sedemikian rupa sehingga tiap output
hanya akan aktif oleh satu kemungkinan kombinasi input.
Selain itu dekoder juga dapat mengkonversikan suatu kode menjadi kode yang lain
sebagai berikut:
- Biner ke Gray
- BCD ke Segment
- BCD ke Desimal
- BCD ke Ex-3

Setiap output diperoleh persamaan:


G0 = B0 + B1
G1 = B1 + B2
G2 = B2 + B3
G3 = B3
IC 7447 adalah dekoder yang digunakan sebagai driver 7 segment, seperti pada
gambar 4.

Seven segment adalah konfigurasi rangkaian display untuk menghasilkan


karakterkarakter desimal (0-9). Seven segment terbuat dari material yang mudah
mengemisi cahaya bila dilewati arus. Material-material ini dikenal dengan LED. LED
akan memancarkan cahaya bila dialiri arus listrik.
IV. PROSEDUR PRAKTIKUM
1. Buat rangkaian dekoder 2 input, 4 output sebagai berikut.

2. Berikan logika 1 atau 0 pada input A dan B seperti pada tabel 2.


3. Amati indicator LED dan isi tabel 2.
Tabel 2. 2 input, 4 output

4. Buat rangkaian decoder biner ke gray sebagai berikut.

5. Berikan logika 1 atau 0 pada input A dan B seperti pada tabel 3.


6. Amati indicator LED dan isi tabel 3.
Tabel 3. Dekoder biner ke gray.
7. Buat rangkaian decoder BCD ke decimal sebagai berikut.

8. Berikan logika 1 atau 0 pada input A dan B seperti pada tabel 4.


9. Amati indicator LED dan isi tabel 4.
Tabel 4. Decoder BCD ke decimal.

10. Buat rangkaian decoder BCD ke seven segment sebagai berikut:


11. Atur Function Generator pada gelombang kotak dan frekuensinya sampai
indikator
LED A, B, C dan D dapat diamati.
12. Amati indikator LED A, B, C, D dan seven segment, isi tabel 5.
Tabel 5. Decoder BCD ke seven segment.

V. DATA
1. Rangkaian dan tabel decoder 2 input, 4 output:

A B Q1 Q2 Q3 Q4
0 0 1 0 0 0
0 1 0 1 0 0
1 0 0 0 1 0
1 1 0 0 0 1
2. Rangkaian dan tabel decoder biner ke gray:

B3 B2 B1 B0 Q1 Q2 Q3 Q4
0 0 0 0 0 0 0 0
0 0 0 1 1 0 0 0
0 0 1 0 1 1 0 0
0 0 1 1 0 1 0 0
0 1 0 0 0 1 1 0
0 1 0 1 1 1 1 0
0 1 1 0 1 0 1 0
0 1 1 1 0 0 1 0
1 0 0 0 0 0 1 1
1 0 0 1 1 0 1 1
1 0 1 0 1 1 1 1
1 0 1 1 0 1 1 1
1 1 0 0 0 1 0 1
1 1 0 1 1 1 0 1
1 1 1 0 1 0 0 1
1 1 1 1 0 0 0 1
3. Rangkaian dan tabel decoder BCD ke decimal:

D C B A Q0 Q Q2 Q3 Q4 Q5 Q6 Q7 Q8 Q9
1
0 0 0 0 1 0 0 0 0 0 0 0 0 0
0 0 0 1 0 1 0 0 0 0 0 0 0 0
0 0 1 0 0 0 1 0 0 0 0 0 0 0
0 0 1 1 0 0 0 1 0 0 0 0 0 0
0 1 0 0 0 0 0 0 1 0 0 0 0 0
0 1 0 1 0 0 0 0 0 1 0 0 0 0
0 1 1 0 0 0 0 0 0 0 1 0 0 0
0 1 1 1 0 0 0 0 0 0 0 1 0 0
1 0 0 0 0 0 0 0 0 0 0 0 1 0
1 0 0 1 0 0 0 0 0 0 0 0 0 1
1 0 1 0 0 0 0 0 0 0 0 0 0 0
1 0 1 1 0 0 0 0 0 0 0 0 0 0
1 1 0 0 0 0 0 0 0 0 0 0 0 0
1 1 0 1 0 0 0 0 0 0 0 0 0 0
1 1 1 0 0 0 0 0 0 0 0 0 0 0
1 1 1 1 0 0 0 0 0 0 0 0 0 0

4. Rangkaian dan tabel decoder BCD ke Seven Segment

No. Input BCD Seven Segment Decimal


D C B A a b c d e f g
1 0 0 0 1 1 0 0 1 1 1 1 1
2 0 0 1 0 0 0 1 0 0 1 0 2
3 0 0 1 1 0 0 0 0 1 1 0 3
4 0 1 0 0 1 0 0 1 1 0 0 4
5 0 1 0 1 0 1 0 0 1 0 0 5
6 0 1 1 0 1 1 0 0 0 0 0 6
7 0 1 1 1 0 0 0 1 1 1 1 7
8 1 0 0 0 0 0 0 0 0 0 0 8
9 1 0 0 1 0 0 0 1 1 0 0 9
0 0 0 0 0 0 0 0 0 0 0 1 0
VI. ANALISIS
1. Rangkaian decoder 2 input 4 output.
Pada percobaan decoder 2 input 4 output, berdasarkan tabel kebenaran, maka
menghasilkan analisis sebagai berikut:
- Pada saat input A berlogika 0 dan input B berlogika 0, maka output atau
keluaran Q1 berlogika 1 (LED menyala), Q2 berlogika 0 (LED padam), Q3
berlogika 0 (LED padam), dan Q4 berlogika 0 (LED padam).
- Pada saat input A berlogika 0 dan input B berlogika 1, maka output atau
keluaran Q1 berlogika 0 (LED padam), Q2 berlogika 1 (LED menyala), Q3
berlogika 0 (LED padam), dan Q4 berlogika 0 (LED padam).
- Pada saat input A berlogika 1 dan input B berlogika 0, maka output atau
keluaran Q1 berlogika 0 (LED padam), Q2 berlogika 0 (LED padam), Q3
berlogika 1 (LED menyala), dan Q4 berlogika 0 (LED padam).
- Pada saat input A berlogika 1 dan input B berlogika 1, maka output atau
keluaran Q1 berlogika 0 (LED padam), Q2 berlogika 0 (LED padam), Q3
berlogika 0 (LED padam), dan Q4 berlogika 1 (LED menyala).

2. Rangkaian decoder biner ke gray.


Pada percobaan decoder biner ke gray, berdasarkan tabel kebenaran, maka telah
didapati analisis berupa:
- Pada saat input B0 berlogika 0, input B1 berlogika 0, input B2 berlogika 0,
dan input B3 berlogika 0, maka akan menghasilkan output berupa Q1
berlogika 0 (LED padam), Q2 berlogika 0 (LED padam), Q3 belogika 0 (LED
padam), dan Q4 berlogika 0 (LED padam).
- Pada saat input B0 berlogika 1, input B1 berlogika 0, input B2 berlogika 0,
dan input B3 berlogika 0, maka akan menghasilkan output berupa Q1
berlogika 1 (LED menyala), Q2 berlogika 0 (LED padam), Q3 belogika 0
(LED padam), dan Q4 berlogika 0 (LED padam).
- Pada saat input B0 berlogika 0, input B1 berlogika 1, input B2 berlogika 0,
dan input B3 berlogika 0, maka akan menghasilkan output berupa Q1
berlogika 1 (LED menyala), Q2 berlogika 1 (LED menyala), Q3 belogika 0
(LED padam), dan Q4 berlogika 0 (LED padam).
- Pada saat input B0 berlogika 1, input B1 berlogika 1, input B2 berlogika 0,
dan input B3 berlogika 0, maka akan menghasilkan output berupa Q1
berlogika 0 (LED padam), Q2 berlogika 1 (LED menyala), Q3 belogika 0
(LED padam), dan Q4 berlogika 0 (LED padam).
- Pada saat input B0 berlogika 0, input B1 berlogika 0, input B2 berlogika 1,
dan input B3 berlogika 0, maka akan menghasilkan output berupa Q1
berlogika 0 (LED padam), Q2 berlogika 1 (LED menyala), Q3 belogika 1
(LED menyala), dan Q4 berlogika 0 (LED padam).
- Pada saat input B0 berlogika 1, input B1 berlogika 0, input B2 berlogika 1,
dan input B3 berlogika 0, maka akan menghasilkan output berupa Q1
berlogika 1 (LED menyala), Q2 berlogika 1 (LED menyala), Q3 belogika 1
(LED menyala), dan Q4 berlogika 0 (LED padam).
- Pada saat input B0 berlogika 0, input B1 berlogika 1, input B2 berlogika 1,
dan input B3 berlogika 0, maka akan menghasilkan output berupa Q1
berlogika 1 (LED menyala), Q2 berlogika 0 (LED padam), Q3 belogika 1
(LED menyala), dan Q4 berlogika 0 (LED padam).
- Pada saat input B0 berlogika 1, input B1 berlogika 1, input B2 berlogika 1,
dan input B3 berlogika 0, maka akan menghasilkan output berupa Q1
berlogika 0 (LED padam), Q2 berlogika 0 (LED padam), Q3 belogika 1 (LED
menyala), dan Q4 berlogika 0 (LED padam).
- Pada saat input B0 berlogika 0, input B1 berlogika 0, input B2 berlogika 0,
dan input B3 berlogika 1, maka akan menghasilkan output berupa Q1
berlogika 0 (LED padam), Q2 berlogika 0 (LED padam), Q3 belogika 1 (LED
menyala), dan Q4 berlogika 1 (LED menyala).
- Pada saat input B0 berlogika 1, input B1 berlogika 0, input B2 berlogika 0,
dan input B3 berlogika 1, maka akan menghasilkan output berupa Q1
berlogika 1 (LED menyala), Q2 berlogika 0 (LED padam), Q3 belogika 1
(LED menyala), dan Q4 berlogika 1 (LED menyala).
- Pada saat input B0 berlogika 0, input B1 berlogika 1, input B2 berlogika 0,
dan input B3 berlogika 1, maka akan menghasilkan output berupa Q1
berlogika 1 (LED menyala), Q2 berlogika 1 (LED menyala), Q3 belogika 1
(LED menyala), dan Q4 berlogika 1 (LED menyala).
- Pada saat input B0 berlogika 1, input B1 berlogika 1, input B2 berlogika 0,
dan input B3 berlogika 1, maka akan menghasilkan output berupa Q1
berlogika 0 (LED padam), Q2 berlogika 1 (LED menyala), Q3 belogika 1
(LED menyala), dan Q4 berlogika 1 (LED menyala).
- Pada saat input B0 berlogika 0, input B1 berlogika 0, input B2 berlogika 1,
dan input B3 berlogika 1, maka akan menghasilkan output berupa Q1
berlogika 0 (LED padam), Q2 berlogika 1 (LED menyala), Q3 belogika 0
(LED padam), dan Q4 berlogika 1 (LED menyala).
- Pada saat input B0 berlogika 1, input B1 berlogika 0, input B2 berlogika 1,
dan input B3 berlogika 1, maka akan menghasilkan output berupa Q1
berlogika 1 (LED menyala), Q2 berlogika 1 (LED menyala), Q3 belogika 0
(LED padam), dan Q4 berlogika 1 (LED menyala).
- Pada saat input B0 berlogika 0, input B1 berlogika 1, input B2 berlogika 1,
dan input B3 berlogika 1, maka akan menghasilkan output berupa Q1
berlogika 1 (LED menyala), Q2 berlogika 0 (LED padam), Q3 belogika 0
(LED padam), dan Q4 berlogika 1 (LED menyala).
- Pada saat input B0 berlogika 1, input B1 berlogika 1, input B2 berlogika 1,
dan input B3 berlogika 1, maka akan menghasilkan output berupa Q1
berlogika 0 (LED padam), Q2 berlogika 0 (LED padam), Q3 belogika 0 (LED
padam), dan Q4 berlogika 1 (LED menyala).

3. Rangkaian decoder BCD to decimal.


Pada rangkaian decoder BCD to decimal, berdasarkan tabel kebenaran, maka
didapati analisis sebagai berikut:
- Pada saat diberikan input atau masukan pada kaki D, C, B, A bernilai atau
berlogika 0, 0, 0, 0 maka output atau keluarannya pada bar LED 1, 0, 0, 0, 0, 0,
0, 0, 0, 0 dimana hanya LED Q0 yang menyala dan LED lainnya padam.
- Pada saat diberikan input atau masukan pada kaki D, C, B, A bernilai atau
berlogika 0, 0, 0, 1 maka output atau keluarannya pada bar LED 0, 1, 0, 0, 0, 0,
0, 0, 0, 0 dimana hanya LED Q1 yang menyala dan LED lainnya padam.
- Pada saat diberikan input atau masukan pada kaki D, C, B, A bernilai atau
berlogika 0, 0, 1, 0 maka output atau keluarannya pada bar LED 0, 0, 1, 0, 0, 0,
0, 0, 0, 0 dimana hanya LED Q2 yang menyala dan LED lainnya padam.
- Pada saat diberikan input atau masukan pada kaki D, C, B, A bernilai atau
berlogika 0, 0, 1, 1 maka output atau keluarannya pada bar LED 0, 0, 0, 1, 0, 0,
0, 0, 0, 0 dimana hanya LED Q3 yang menyala dan LED lainnya padam.
- Pada saat diberikan input atau masukan pada kaki D, C, B, A bernilai atau
berlogika 0, 1, 0, 0 maka output atau keluarannya pada bar LED 0, 0, 0, 0, 1, 0,
0, 0, 0, 0 dimana hanya LED Q4 yang menyala dan LED lainnya padam.
- Pada saat diberikan input atau masukan pada kaki D, C, B, A bernilai atau
berlogika 0, 1, 0, 1 maka output atau keluarannya pada bar LED 0, 0, 0, 0, 0, 1,
0, 0, 0, 0 dimana hanya LED Q5 yang menyala dan LED lainnya padam.
- Pada saat diberikan input atau masukan pada kaki D, C, B, A bernilai atau
berlogika 0, 1, 1, 0 maka output atau keluarannya pada bar LED 0, 0, 0, 0, 0, 0,
1, 0, 0, 0 dimana hanya LED Q6yang menyala dan LED lainnya padam.
- Pada saat diberikan input atau masukan pada kaki D, C, B, A bernilai atau
berlogika 0, 1, 1, 1 maka output atau keluarannya pada bar LED 0, 0, 0, 0, 0, 0,
0, 1, 0, 0 dimana hanya LED Q7yang menyala dan LED lainnya padam.
- Pada saat diberikan input atau masukan pada kaki D, C, B, A bernilai atau
berlogika 1, 0, 0, 0 maka output atau keluarannya pada bar LED 0, 0, 0, 0, 0, 0,
0, 0, 1, 0 dimana hanya LED Q8 yang menyala dan LED lainnya padam.
- Pada saat diberikan input atau masukan pada kaki D, C, B, A bernilai atau
berlogika 1, 0, 0, 1 maka output atau keluarannya pada bar LED 1, 0, 0, 0, 0, 0,
0, 0, 0, 1 dimana hanya LED Q9 yang menyala dan LED lainnya padam.
- Pada saat diberikan input atau masukan pada kaki D, C, B, A bernilai atau
berlogika 1, 0, 1, 0 maka output atau keluarannya pada bar LED 0, 0, 0, 0, 0, 0,
0, 0, 0, 0 dimana semua LED dari Q0 sampai Q9 padam.
- Pada saat diberikan input atau masukan pada kaki D, C, B, A bernilai atau
berlogika 1, 0, 1, 1 maka output atau keluarannya pada bar LED 0, 0, 0, 0, 0, 0,
0, 0, 0, 0 dimana semua LED dari Q0 sampai Q9 padam.
- Pada saat diberikan input atau masukan pada kaki D, C, B, A bernilai atau
berlogika 1, 1, 0, 0 maka output atau keluarannya pada bar LED 0, 0, 0, 0, 0, 0,
0, 0, 0, 0 dimana semua LED dari Q0 sampai Q9 padam.
- Pada saat diberikan input atau masukan pada kaki D, C, B, A bernilai atau
berlogika 1, 1, 0, 1 maka output atau keluarannya pada bar LED 0, 0, 0, 0, 0, 0,
0, 0, 0, 0 dimana semua LED dari Q0 sampai Q9 padam.
- Pada saat diberikan input atau masukan pada kaki D, C, B, A bernilai atau
berlogika 1, 1, 1, 0 maka output atau keluarannya pada bar LED 0, 0, 0, 0, 0, 0,
0, 0, 0, 0 dimana semua LED dari Q0 sampai Q9 padam.
- Pada saat diberikan input atau masukan pada kaki D, C, B, A bernilai atau
berlogika 1, 1, 1, 1 maka output atau keluarannya pada bar LED 0, 0, 0, 0, 0, 0,
0, 0, 0, 0 dimana semua LED dari Q0 sampai Q9 padam.

4. Rangkaian decoder BCD to Seven Segment.


Pada percobaan decoder BCD to Seven Segmen, berdasarkan tabel kebenaran,
maka didapati analisis sebagai berikut:
- Pada saat kaki D, C, B, A diberikan input atau masukan 0, 0, 0, 1 maka
diperoleh keluaran dari seven segmen a, b, c, d, e, f, g berupa 1, 0, 0, 1, 1, 1, 1
dimana pada LED menunjukkan decimal 1.
- Pada saat kaki D, C, B, A diberikan input atau masukan 0, 0, 1, 0 maka
diperoleh keluaran dari seven segmen a, b, c, d, e, f, g berupa 0, 0, 1, 0, 0, 1, 0
dimana pada LED menunjukkan decimal 2.
- Pada saat kaki D, C, B, A diberikan input atau masukan 0, 0, 1, 1 maka
diperoleh keluaran dari seven segmen a, b, c, d, e, f, g berupa 0, 0, 0, 0, 1, 1, 0
dimana pada LED menunjukkan decimal 3.
- Pada saat kaki D, C, B, A diberikan input atau masukan 0, 1, 0, 0 maka
diperoleh keluaran dari seven segmen a, b, c, d, e, f, g berupa 1, 0, 0, 1, 1, 0, 0
dimana pada LED menunjukkan decimal 4.
- Pada saat kaki D, C, B, A diberikan input atau masukan 0, 1, 0, 1 maka
diperoleh keluaran dari seven segmen a, b, c, d, e, f, g berupa 0, 1, 0, 0, 1, 0, 0
dimana pada LED menunjukkan decimal 5.
- Pada saat kaki D, C, B, A diberikan input atau masukan 0, 1, 1, 0 maka
diperoleh keluaran dari seven segmen a, b, c, d, e, f, g berupa 1, 1, 0, 0, 0, 0, 0
dimana pada LED menunjukkan decimal 6.
- Pada saat kaki D, C, B, A diberikan input atau masukan 0, 1, 1, 1 maka
diperoleh keluaran dari seven segmen a, b, c, d, e, f, g berupa 0, 0, 0, 1, 1, 1, 1
dimana pada LED menunjukkan decimal 7.
- Pada saat kaki D, C, B, A diberikan input atau masukan 1, 0, 0, 0 maka
diperoleh keluaran dari seven segmen a, b, c, d, e, f, g berupa 0, 0, 0, 0, 0, 0, 0
dimana pada LED menunjukkan decimal 8.
- Pada saat kaki D, C, B, A diberikan input atau masukan 1, 0, 0, 1 maka
diperoleh keluaran dari seven segmen a, b, c, d, e, f, g berupa 0, 0, 0, 1, 1, 0, 0
dimana pada LED menunjukkan decimal 9.
- Pada saat kaki D, C, B, A diberikan input atau masukan 0, 0, 0, 0 maka
diperoleh keluaran dari seven segmen a, b, c, d, e, f, g berupa 0, 0, 0, 0, 0, 0, 1
dimana pada LED menunjukkan decimal 0.

VII. PERTANYAAN DAN TUGAS


1. Buat laporan hasil praktikum
2. Apa yang dimaksud dengan dekoder? Jelaskan.
Secara sederhana, dapat dikatakan bahwa decoder adalah kebalikan dari encoder.
Decoder adalah rangkaian kombinasi yang memiliki jalur input ‘n’ dan maksimum
jalur output 2n. Salah satu dari output ini akan menjadi "Aktif Tinggi"
berdasarkan kombinasi dari input yang ada ketika decoder diaktifkan.

Dengan kata lain bahwa decoder adalah rangkaian yang mampu mendeteksi kode
tertentu. Output dari decoder tidak lain adalah syarat minimum dari baris variabel
input ‘n’, ketika diaktifkan.

3. Desain sebuah rangkaian logika untuk dekoder 3 input, 8 output.


C B A X1 X2 X3 X4 X5 X6 X7 X8
0 0 0 1 0 0 0 0 0 0 0
0 0 1 0 1 0 0 0 0 0 0
0 1 0 0 0 1 0 0 0 0 0
0 1 1 0 0 0 1 0 0 0 0
1 0 0 0 0 0 0 1 0 0 0
1 0 1 0 0 0 0 0 1 0 0
1 1 0 0 0 0 0 0 0 1 0
1 1 1 0 0 0 0 0 0 0 1

4. Apa yang dimaksud dengan seven segment?


Seven Segment Display memiliki 7 Segmen dimana setiap segmen dikendalikan
secara ON dan OFF untuk menampilkan angka yang diinginkan. Angka-angka
dari 0 (nol) sampai 9 (Sembilan) dapat ditampilkan dengan menggunakan
beberapa kombinasi Segmen. Selain 0 – 9, Seven Segment Display juga dapat
menampilkan Huruf Hexadecimal dari A sampai F. Segmen atau elemen-elemen
pada Seven Segment Display diatur menjadi bentuk angka “8” yang agak miring
ke kanan dengan tujuan untuk mempermudah pembacaannya. Pada beberapa jenis
Seven Segment Display, terdapat juga penambahan “titik” yang menunjukan
angka koma decimal.  Terdapat beberapa jenis Seven Segment Display,
diantaranya adalah Incandescent bulbs, Fluorescent lamps (FL), Liquid Crystal
Display (LCD) dan Light Emitting Diode (LED).

5. Jelaskan prinsip kerja seven segment menampilkan desimal (0-9).


Seven segment terdiri dari 7 buah LED yang membentuk angka 8 dan 1 LED
untuk titik/DP. Angka yang ditampilkan di seven segmen ini dari 0-9. Maksudnya
pada rangkaian seven segment ini LED akan menyala menampilkan digit/biner
dari 0 sampai 9. Cara kerja dari seven segment disesuaikan dengan LED. Terdapat
2 Jenis LED 7 Segmen, diantaranya adalah “LED 7 Segmen common Cathode”
dan “LED 7 Segmen common Anode”.
- LED 7 Segmen Tipe Common Cathode (Katoda)
Pada LED 7 Segmen jenis Common Cathode (Katoda), Kaki Katoda
pada semua segmen LED adalah terhubung menjadi 1 Pin, sedangkan
Kaki Anoda akan menjadi Input untuk masing-masing Segmen LED.Kaki
Katoda yang terhubung menjadi 1 Pin ini merupakan Terminal Negatif (-)
atau Ground sedangkan Signal Kendali (Control Signal) akan diberikan
kepada masing-masing Kaki Anoda Segmen LED.

Berikut adalah prinsip kerja seven segment yang menampilkan decimal (0-9)
pada common cathode (katoda).
 Untuk menampilkan digit 0 maka deretan LED yang harus dinyalakan
adalah A,B,C,D,E,F
 Untuk menampilkan digit 1 maka deretan LED yang harus dinyalakan
adalah B,C

 Untuk menampilkan digit 2 maka deretan LED yang harus dinyalakan


adalah A,B,D,E,G

 Untuk menampilkan digit 3 maka deretan LED yang harus dinyalakan


adalah A,B,C,D,G
 Untuk menampilkan digit 4 maka deretan LED yang harus dinyalakan
adalah B,C,F,G

 Untuk menampilkan digit 5 maka deretan LED yang harus dinyalakan


adalah A,C,D,F,G

 Untuk menampilkan digit 6 maka deretan LED yang harus dinyalakan


adalah A,C,D,E,F,G

 Untuk menampilkan digit 7 maka barisan LED yang wajib dihisupkan


adalah A,B,C
 Untuk menampilkan digit 8 maka deretan LED yang harus dinyalakan
adalah A,B,C,D,E,F,G

- LED 7 Segmen Tipe Common Anode (Anoda)


Pada LED 7 Segmen jenis Common Anode (Anoda), Kaki Anoda pada semua
segmen LED adalah terhubung menjadi 1 Pin, sedangkan kaki Katoda
akan menjadi Input untuk masing-masing Segmen LED. Kaki Anoda
yang terhubung menjadi 1 Pin ini akan diberikan Tegangan Positif (+)
dan Signal Kendali (control signal) akan diberikan kepada masing-
masing Kaki Katode Segmen LED.
Sedangkan untuk prinsip kerja seven segment yang menampilkan decimal (0-
9) pada common anode (anoda) dapat dilihat pada rangkaian decoder BCD to
Seven Segment di atas.

Berikut adalah tabel kebenaran untuk seven segment yang menampilkan


decimal (0-9) pada common cathode (katoda) dan common anode (anoda).

6. Apa yang dimaksud dengan multiplexer dan demultiplexer? Jelaskan.


 Multiplexer
Multiplexer adalah rangkaian logika kombinasional yang dirancang khusus
untuk mengalihkan salah satu dari beberapa jalur INPUT (masukan) ke satu
jalur OUTPUT (keluaran). Jalur Input yang terpilih menentukan input mana
yang akan terhubung ke output. Multiplexer yang juga sering disingkat
menjadi MUX atau MPX ini pada dasarnya berupa rangkaian digital yang
dibuat dari gerbang logika berkecepatan tinggi yang digunakan untuk beralih
data digital atau biner atau dapat berupa tipe analog yang menggunakan
komponen transistor, MOSFET atau relay untuk mengalihkan salah satu input
ke output.

Rangkaian Logika Kombinasional yang dalam bahasa Indonesia sering ditulis


dengan Multiplekser ini biasanya dikemas dalam sebuah perangkat komponen
elektronika yang disebut dengan IC Multiplexer seperti IC jenis seri 7400
(74157, 74158, 74153 dan lain-lainnya).  Multiplexer juga sering disebut juga
sebagai Perangkat Pemilih Data (Data Selector).
 Demultiplexer
Demultiplexer adalah rangkaian logika kombinasional yang dirancang untuk
mengalihkan satu jalur input umum ke salah satu dari beberapa jalur output
terpisah. Distributor data, lebih dikenal sebagai Demultiplexer atau "Demux",
adalah kebalikan dari Multiplexer.

Demultiplexer mengambil satu single line input data dan kemudian beralih ke
salah satu dari sejumlah baris output individu satu per satu. Demultiplexer
mengkonversi sinyal data serial pada input untuk data paralel pada jalur
output seperti yang ditunjukkan di bawah ini.

VIII. KESIMPULAN
Berdasarkan praktikum yang telah dilakukan kali ini, telah didapati jika
rangkaian decoder adalah suatu rangkaian logika yang mengubah suatu kodeinput
biner N-bit menjadi M buah len-len output sedemikian rupa sehingga tiap-tiap
lenoutput hanya akan diaktifkan oleh salah satu dari kemungkinan kombinasi-
kombinasiinput. Decoder merupakan suatu alat yang di gunakan untuk dapat
mengembalikan proses encoding sehingga kita dapat melihat atau menerima informasi
aslinya. Salah satu fungsi yang dimiliki oleh decoder adalah untuk memberikan
kemudahan dalam menyatakan seven segmen. Alasan inilah yang membuat kita lebih
menggunakan decoder ketika hendak menyalakan seven segmen.
Juga melalui praktikum kali ini, kita juga bisa mendesain dan membangun
rangkaian decoder dimana pada praktikum kali ini kita menggunakan decoder 2 input
4 output, decoder biner ke gray, decoder BCD ke decimal, dan decoder BCD ke
Seven Segmen melalui software NI Multimsim 14.0. Sehingga melalui praktikum ini
kita bisa membuktikan teori-teori dari rangkaian decoder sebelumnya.

Anda mungkin juga menyukai