Anda di halaman 1dari 96

PRAKTIKUM NAMA: …………………………

TEKNIK DIGITAL NIM: …………………………

INSTITUT TEKNOLOGI - PLN


SMART ELECTRONIC SYSTEM LABORATORY PRAKTIKUM TEKNIK DIGITAL | i
PRAKTIKUM NAMA: Angelius Rivaldo N Sowai
TEKNIK DIGITAL NIM: 2021-11-067

TIM PENYUSUN

Kepala Laboratorium : Tasdik Darmana, Ir., M.T.


Instruktur Laboratorium S1 : Sofitri Rahayu, S.Pd., M.Eng.
Instruktur Laboratorium D3 : Meyhart Torsna Bangkit. S.T., M.Eng.

Asisten Laboratorium :
Koodinator Asisten : Zidans Ramoli (2019-11-020)
Anggota : Muhammad Fahri Widianto (2019-11-004)
Alifiah Nur Mariyam (2019-11-200)
Anissa Salsabila (2019-11-215)
Oki Saputra (2019-11-234)
Anjely Shukriya Aini (2019-11-260)
Andi Namhar Dwitama (2020-11-058)
Ahmad Hidayat (2020-11-066)
Andi Atma Dwi Zakiyah (2020-11-073)
Nur Annisa Rahma (2020-11-114)
Fira Yenika (2020-11-115)
Riestri Rachmaningtyas SB (2020-11-231)
Asprinda Al Akbar S (2020-11-274)

INSTITUT TEKNOLOGI - PLN


SMART ELECTRONIC SYSTEM LABORATORY PRAKTIKUM TEKNIK DIGITAL | ii
PRAKTIKUM NAMA: Angelius Rivaldo N Sowai
TEKNIK DIGITAL NIM: 2021-11-067

TATA TERTIB
LABORATORIUM TEKNIK DIGITAL

1. Praktikan wajib memiliki modul praktikum sendiri dan membawa modul, kartu
praktikum yang sudah ditempel foto dan diisi lengkap datanya, beserta map. Jika
terbukti meminjam modul praktikum praktikan lain dan lupa membawa kartu/map
maka asisten berhak melarang praktikan, untuk mengikuti praktikum.

2. Saat praktikum, praktikan wajib memakai kemeja, jas laboratorium, bercelana rapi,
masker serta bersepatu (tidak flatshoes).

3. Praktikan sudah harus ada di laboratorium 15 menit sebelum praktikum dimulai.


Toleransi Keterlambatan 15 menit dari praktikum dimulai • Jika praktikan terlambat
kurang 15 menit, diperkenankan ikut praktikum tanpa pemberian waktu tambahan
untuk mengumpulkan tugas rumah sebelum praktikum. • Jika praktikan terlambat
lebih dari 15 menit dengan keterangan dan disertai bukti yang kuat, praktikan
diperkenankan mengumpulkan tugas rumah dan mengikuti praktikum (jika tidak
terdapat keterangan yang jelas, maka praktikan tidak diperkenankan mengikuti
praktikum).

4. Asisten tidak akan memberikan praktikum pengganti/susulan akibat pembatalan


karena kesalahan praktikan. Nilai praktikan untuk modul yang bersangkutan sama
dengan nol (0). Jika anda sakit, anda harus melampirkan surat keterangan dokter.

5. Laporan praktikum disusun dengan ketentuan penulisan dan diserahkan sesuai jadwal
yang telah diberikan oleh asisten. Penyerahan tidak bisa diwakilkan, karena praktikan
harus sudah siap untuk menghadapi presentasi.

6. Jika praktikum bentrok dengan waktu ujian atau kuis, maka anda harus segera
mengurus perpindahan waktu praktikum dengan mengambil formulir perubahan
jadwal praktikum dan anda harus mencari teman yang bisa bertukar waktu praktikum
dan anda wajib meminta tanda tangan teman yang sepakat untuk menukar jadwal,
kordas, asisten yang menaungi anda dan instruktur laboratorium (dengan format
formulir yang sudah disediahkan).

7. Keterlambatan penyerahan laporan Praktikum akan mengakibatkan nilai laporan


anda berkurang 1/7 untuk satu hari keterlambatan dari total nilai dan jika terlambat 1
minggu berarti nilai laporan praktikum anda sama dengan nol (0). Laporan yang
INSTITUT TEKNOLOGI - PLN
SMART ELECTRONIC SYSTEM LABORATORY PRAKTIKUM TEKNIK DIGITAL | iii
PRAKTIKUM NAMA: Angelius Rivaldo N Sowai
TEKNIK DIGITAL NIM: 2021-11-067
diketahui terdapat kecuragan akan dianggap bernilai nol (0).

INSTITUT TEKNOLOGI - PLN


SMART ELECTRONIC SYSTEM LABORATORY PRAKTIKUM TEKNIK DIGITAL | iv
PRAKTIKUM NAMA: Angelius Rivaldo N Sowai
TEKNIK DIGITAL NIM: 2021-11-067

8. Harap menjaga perilaku dan tutur kata anda selama proses praktikum berlangsung
karena itu juga mempengaruhi nilai praktikan . Jika praktikan mengucapkan kata
yang tidak pantas dan berperilaku tidak sopan saat praktikum berlangsung maka
asisten berhak mengeluarkan dari laboratorium.

9. Hal-hal yang perlu dipersiapakan dan dibawa untuk praktikum Teknik Digital :

a. Modul praktikum
b. Kartu Praktikum
c. Foto terbaru anda ukuran 3x4 (3 lembar)
d. Map warna sesuai kelas
e. 1 lembar HVS

LABORATORIUM TEKNIK DIGITAL


IT-PLN

INSTITUT TEKNOLOGI - PLN


SMART ELECTRONIC SYSTEM LABORATORY PRAKTIKUM TEKNIK DIGITAL | v
PRAKTIKUM NAMA: Angelius Rivaldo N Sowai
TEKNIK DIGITAL NIM: 2021-11-067

TATA CARA PENULISAN LAPORAN PRAKTIKUM

1. Laporan diketik dengan memakai Font Times New Roman ukuran 12 dan Line Spacing 1,5.

2. Untuk gambar bisa di screenshot dengan memperlihatkan komponennya.

3. Diprint & ditulis (Tugas Rumah) dengan kertas HVS A4, format kertas seperti contoh berikut :
Budi 20XX-XX-XXX

Header : Nama dan NIM untuk Tugas Rumah


Kelompok untuk Laporan
Footer : Laboratorium Teknik Digital
Institut Teknologi – PLN

Margin :
Top : 2 cm Left : 2,5 cm
Bottom : 2 cm Right : 1,5 cm

4. Susunan Laporan praktikum masing-masing bab dan sub bab terdiri dari :
Laboratorium Teknik Digital Institut Teknologi -
PLN a. Judul Praktikum
b. Tujuan Praktikum
c. Alat Percobaan
d. Teori Modul
e. Teori Tambahan (modul yang tidak ada sub modulnya maka minimal 3 lembar, untuk
modul yang ada sub modul maka 2 lembar tiap sub modulnya saja)
f. Langkah Percobaan
g. Data Pengamatan
h. Tugas Akhir
i. Analisa Praktikum (modul yang tidak ada sub modulnya maka minimal 2 lembar, untuk
modul yang ada sub modul maka 1 lembar tiap sub modulnya saja)
j. Kesimpulan

INSTITUT TEKNOLOGI - PLN


SMART ELECTRONIC SYSTEM LABORATORY PRAKTIKUM TEKNIK DIGITAL | vi
PRAKTIKUM NAMA: Angelius Rivaldo N Sowai
TEKNIK DIGITAL NIM: 2021-11-067

5. Setelah laporan selesai, Laporan diberi cover, dengan format sebagai berikut :

TUGAS RUMAH MODUL XX


LAPORAN PRAKTIKUM TEKNIK DIGITAL

Nama:
Kelompok: NIM:
Kelas: Kelas:
Tgl. Praktikum : Kelompok:
Tgl. Presentasi : Tgl. Praktikum :
Asisten: Asisten:

LABORATORIUM
LABORATORIUM TEKNIK DIGITAL TEKNIK DIGITAL
INSTITUT TEKNOLOGI INSTITUT TEKNOLOGI - PLN JAKARTA
- PLN JAKARTA
2022 2022

Untuk cover laporan praktikum di print di kertas bufallo dengan warna bufallo sesuai kelas

6. Laporan WAJIB dijilid spiral plastik

7. Laporan di acc 3 (tiga) hari setelah praktikum terakhir

8. Setelah laporan di acc oleh asisten, WAJIB dikumpul 2 jam sebelum presentasi

9. Laporan yang tidak sesuai format akan dikembalikan dan otomatis mendapat pengurangan nilai

10. Laporan yang merupakan hasil menjiplak atau plagiat, maka baik yang memplagiat atau yang
diplagiat dinyatakan tidak lulus dalam mata kuliah praktikum Teknik Digital

LABORATORIUM TEKNIK DIGITAL


IT- PLN

INSTITUT TEKNOLOGI - PLN


SMART ELECTRONIC SYSTEM LABORATORY PRAKTIKUM TEKNIK DIGITAL | vii
PRAKTIKUM NAMA: Angelius Rivaldo N Sowai
TEKNIK DIGITAL NIM: 2021-11-067

KARTU PRAKTIKUM
SMART ELECTRONIC SYSYEM
LABORATORY

NAMA :

NIM :

Praktikum :
Pho
Kelompok : to 3

Program Studi : x4

Jurusan :

Asisten :

INSTITUT TEKNOLOGI - PLN


Kampus : Menara PLN, Jl. Lingkar Luar Barat, Duri Kosambi, Cengkareng.
Jakarta Barat 11750 Telp. 021-5440342, Fax. 021-5440343

INSTITUT TEKNOLOGI - PLN


SMART ELECTRONIC SYSTEM LABORATORY PRAKTIKUM TEKNIK DIGITAL | viii
PRAKTIKUM NAMA: Angelius Rivaldo N Sowai
TEKNIK DIGITAL NIM: 2021-11-067

DAFTAR ISI
TIM PENYUSUN............................................................................................................................ii
TATA TERTIB................................................................................................................................ii
TATA CARA PENULISAN LAPORAN PRAKTIKUM...............................................................v
KARTU PRAKTIKUM.................................................................................................................vii
DAFTAR ISI............................................................................................................................................viii
TEORI DASAR...............................................................................................................................1
MODUL I GERBANG LOGIKA....................................................................................................5
1.1 Praktikum NAND Gate.....................................................................................................13
1.2 Praktikum NOT Gate........................................................................................................14
1.3 Praktikum AND Gate........................................................................................................15
1.4 Praktikum NOR Gate........................................................................................................16
1.5 Praktikum OR Gate...........................................................................................................17
1.6 Praktikum XOR Gate........................................................................................................18
1.7 Praktikum XNOR Gate.....................................................................................................19
MODUL II PENYEDERHANAAN RANGKAIAN KOMBINASIONAL..................................21
2.1 Penyederhanaan Rangkaian..............................................................................................28
MODUL III FLIP-FLOP DAN COUNTER..................................................................................32
3.1 Praktikum Flip-flop...........................................................................................................37
3.2 Praktikum Counter............................................................................................................39
MODUL IV REGISTER................................................................................................................43
4.1 Praktikum Serial Input- Paralel Output (SIPO)................................................................49
4.2 Praktikum Paralel Input Paralel Output (PIPO)................................................................51
MODUL V DECODER.................................................................................................................53
5.1 BCD to 7 Segment............................................................................................................56
5.2 Praktikum Decoder 2 To 4................................................................................................59
MODUL VI MULTIPLEKSER & DEMULTIPLEKSER.............................................................61
6.1 Praktikum Multiplekser.....................................................................................................66
6.2 Praktikum Multiplekser.....................................................................................................68
6.3 Praktikum Encoder 8 to 3.................................................................................................71
MODUL VII MULTIVIBRATOR.................................................................................................74
DAFTAR HADIR..........................................................................................................................83

INSTITUT TEKNOLOGI - PLN


SMART ELECTRONIC SYSTEM LABORATORY PRAKTIKUM TEKNIK DIGITAL | ix
PRAKTIKUM NAMA: …………………………
TEKNIK DIGITAL NIM: …………………………

I. Sistem Bilangan

TEORI DASAR

1.1 Bilangan Biner


Bilangan biner adalah bilangan berbasis 2 yang tersusun dari angka 0 dan 1 yang secara umum
diformulasikan sebagai berikut :

N = ... + 8 d3 + 4 d2 + 2d1 + 1 d0
dimana d3, d2, d1, d0 merupakan angka 0 atau 1

Contoh bilangan biner


3 2 1 0
1101 = (1 x 2 + 1 x 2 + 0 + 2 + 0 + 2 ) desimal
1101 = (8 + 4 + 0 + 1) desimal
1101 = 13 desimal

Dari formula di atas , maka bilangan biner mempunyai bobot untuk tiap-tiap bit
(binary digit) :

Bit n Bit 7 Bit 6 Bit 5 Bit 4 Bit 3 Bit 2 Bit 1 Bit 0


n
2 =x 27 26 25 24 23 22 21 20

Di dalam elektronika, digital bilangan biner ini mewakili keadaan ON atau OFF, tergantung
keadaannya.
Misal : bilangan 1 disebut sebagai keadaan HIGH (tinggi)
bilangan 0 disebut sebagai keadaan LOW (rendah)
atau bilangan 1 menyatakan keadaan ON dan bilangan 0 menyatakan keadaan OFF, atau
sebaliknya tergantung kepada keadaan aktif dari rangkaian. Bila rangkaian menyatakan
keadaan aktif HIGH berarti 1 => ON dan 0 => OFF tetapi bila rangkaian menyatakan keadaan
aktif LOW berarti 1 => OFF dan 0 => ON

Contoh:
1. Ubahlah bilangan biner ini ke desimal : 10110

Jawab : N = 1 x 24 + 0 x 23 + 1 x 22 + 1 x 21 + 0 x 20

= 16+0+4+2+0

= 22 (desimal)

Jadi 10110 (biner) = 22 (desimal)

2. Ubahlah bilangan desimal ini ke biner : 26

Jawab: 26: 2=13 sisa 0 bit ke - 0

13: 2=6 sisa 1 bit ke - 1

INSTITUT TEKNOLOGI - PLN


SMART ELECTRONIC SYSTEM LABORATORY PRAKTIKUM TEKNIK DIGITAL | 1
PRAKTIKUM NAMA: …………………………
TEKNIK DIGITAL NIM: …………………………
6:2 =3 sisa 0 bit ke - 2

INSTITUT TEKNOLOGI - PLN


SMART ELECTRONIC SYSTEM LABORATORY PRAKTIKUM TEKNIK DIGITAL | 2
PRAKTIKUM NAMA: …………………………
TEKNIK DIGITAL NIM: …………………………

3:2 =1 sisa 1 bit ke - 3

1:2 =0 Sisa 1 bit ke - 4

Jadi 26 (desimal) = 11010 (biner) (diambil dari bit terbesar => terkecil)

1.2. Bilangan Hexadesimal


Bilangan heksadesimal adalah bilangan berbasis 16 yang digunakan oleh komputer sebagai
pengalamatan (addressing) untuk mengirim atau menerima data ke atau dari suatu peralatan
agar tidak salah sasaran.
Bilangan Ini untuk 0 – 9 sama dengan bilangan desimal tetapi untuk angka 10-15 berubah
menjadi A – F.
Bilangan Desimal Bilangan Hexadesimal
0 0
1 1
2 2
3 3
4 4
5 5
6 6
7 7
8 8
9 9
10 A
11 B
12 C
13 D
14 E
15 F

Contoh :

1. Ubahlah bilangan heksadesimal berikut ke desimal : 3B

1 0
Jawab : N= 3 x 16 + B x 16

1 0
= 3 x 16 + 11 x 16

= 48 + 11 = 59 (desimal)
Jadi 3B (heksadesimal) = 59 (desimal)
Bilangan heksadesimal biasanya ditulis dengan akhiran H, misal 3B (heksadesimal) ditulis
dengan 3BH.

INSTITUT TEKNOLOGI - PLN


SMART ELECTRONIC SYSTEM LABORATORY PRAKTIKUM TEKNIK DIGITAL | 3
PRAKTIKUM NAMA: …………………………
TEKNIK DIGITAL NIM: …………………………

2. Ubahlah bilangan desimal berikut ke heksadesimal : 249


Jawab : 249 : 16 = 15 sisa 9 angka ke – 0
15 :16 = 0 sisa 15 atau F angka ke – 1
Jadi 249 (desimal) = F9 (heksadesimal)
= F9H

2. Boolean Dasar
2.1 Aljabar Boolean
Aljabar Boolean digunakan untuk mendisain suatu rangkaian elektronika digital agar
terhindar dari kesalahan.
Ada 10 teorema aljabar Boolean yang harus diikuti apabila kita menemui permasalahan:
1. Hukum Komutatif
x A+B=B+A
x A.B=B.A

2. Hukum Assosiatif
x (A+B)+C=A+(B+C)
x (A.B).C =A.(B.C)

3. Hukum Distributif
x A.(B+C)=A.B+A.C
x A+(B.C)=(A+B).(A+C)

4. Hukum Identitas
x A+A=A
x A.A=A

5. Hukum Negasi
x (Ā)=Ā
x (Ā)=A

6. Hukum Redundance
x A+A.B=A
x A.(A+B)=A

INSTITUT TEKNOLOGI - PLN


SMART ELECTRONIC SYSTEM LABORATORY PRAKTIKUM TEKNIK DIGITAL | 4
PRAKTIKUM NAMA: …………………………
TEKNIK DIGITAL NIM: …………………………

INSTITUT TEKNOLOGI - PLN


SMART ELECTRONIC SYSTEM LABORATORY PRAKTIKUM TEKNIK DIGITAL | 5
PRAKTIKUM NAMA: …………………………
TEKNIK DIGITAL NIM: …………………………

MODUL I
GERBANG LOGIKA

I. Tujuan
Memahami pemrosesan data pada gate dasar dan gate tambahan.

II. Alat dan Bahan


1. PC (Personal Computer)
2. Aplikasi Proteus

III. Teori Modul

GATE DASAR

Harga peubah (variabel) logika, pada dasarnya hanya dua, yaitu benar (true) atau
salah (false). Dalam persamaan logika, umumnya simbol 1 dipakai untuk menyatakan
benar dan simbol 0 dipakai untuk untuk menyatakan salah. Denganmemakai simbol ini,
maka keadaan suatu logika hanya mempunyai dua kemungkinan,1 dan 0. Kalau tidak 1,
maka keadaan itu harus 0 dan kalau tidak 0 makakeadaan itu harus 1.
Operasi yang paling mendasar dalam logika adalah penyangkalan dengan kata-kata
"tidak" (NOT). Jadi, "benar" adalah "tidak salah" dan "salah" adalah"tidak benar".
Operasi ini dikenal secara umum dengan nama "inversion"yang disimbolkan dengan garis
di atas peubah yang disangkalitu.
Gerbang elektronik yang berfungsi menidakkan ini disebut gerbang NOT dan sering
juga disebut "inverter". Bila masukan gerbang NOT dinamakan A dan keluarannya
dinamakan Z, maka hubungan masukan dan keluaran itu dituliskansebagai:

Z = 𝐴̅

Hal ini berlaku secaraumum dalam aljabar Boole dan untuk peubah yang aktif untuk
tegangan 0 Volt(rendah) sering diberi nama dengan garis komplemen di atasnya.
Bentukkeluaran suatu rangkaian logika dalam bentuk fungsi Boole dapat
diperolehdengan mudah dari tabel kebenaran rangkaian logika yang bersangkutan.
Tetapifungsi yang dihasilkan dari tabel kebenaran umumnya belumlah dalam bentukyang
sederhana, yang membutuhkan gerbang yang paling sedikit, dan masihperlu
disederhanakan.

Dua operasi yang paling mendasar lainnya dalam aljabar logika adalah operasi"DAN"
(AND) dan operasi "ATAU" (OR). Gerbang elektronik yang merealisasikanlogika ini
masing-masing diberi nama gerbang "AND" dan gerbang"OR". Perlu ditegaskan kembali
bahwa untuk logika positif yang dipakaiseterusnya dalam buku ini, 1 diartikan benar dan
0 diartikan salah dan secaraelektroniknya, 1 diartikan sebagai tegangan tinggi (paling
umum adalah +5 Volt)dan 0 diartikan sebagai tegangan rendah (0 Volt). Tegangan
elektronik 0 - 5 Voltini dikenal sebagai level TTL, singkatan dari Transistor-Transistor
Logic. Untuk suatu gerbang OR dengan 2 masukan, katakanlah A dan B,keluarannya
akan benar (= 1) bila salah satu masukan A "atau" B adalah benardan keluaran itu akan
salah (= 0) bila kedua masukan A dan B secara bersama – sama.

INSTITUT TEKNOLOGI - PLN


SMART ELECTRONIC SYSTEM LABORATORY PRAKTIKUM TEKNIK DIGITAL | 6
PRAKTIKUM NAMA: …………………………
TEKNIK DIGITAL NIM: …………………………

Untuk gerbang AND dengan dua masukan A dan B, keluarannyaakan benar


hanya bila kedua masukannya A "DAN" B adalah benar dan salahbila salah
satu masukan itu salah.

Dalam aljabar Boole, operasi yang dilakukan oleh gerbang OR


disimbolkandengan operator "+" dan dibaca OR atau "ATAU" dan operasi
ANDdisimbolkan dengan operator "." dan dibaca AND atau "DAN". Tanda
operator"." sering dihilangkan saja dengan catatan bahwa tanpa ada operator
laindiartikan sebagai operasi AND. Seperti ditunjukkan,operasiOR dan AND
untuk dua peubah masukan dituliskan sebagai berikut :
 OR :Z=A+B
 AND : Z = A.B = AB

Dalam praktek, terutama dalam hubungan pernyataan fungsi Boole


danpenyederhanaannya, operator OR sering dibaca "tambah" dan operator
ANDsering dibaca "kali". Karena kebiasaan ini, sering orang menganggap
bahwapeubah logika (Boole) adalah peubah biner. Perlu ditegaskan bahwa
peubahlogika bukanlah peubah biner. Kalau peubah biner mempunyai harga
yangpadanya dapat dilakukan operasi aritmatika, maka peubah logika
hanyalahsimbol dan tidak mempunyai harga yang dapat ditambah-kurangkan
atau dikalibagikan.
Dalamlogika, 1+ 1= 1 sedangkan dalam biner, 1 + 1 =10. Selain itu, dalam
logika tidakada pengurangan dan pembagian.

GATE TAMBAHAN

Di samping gerbang-gerbang elektronik NOT, OR, dan AND, dibuat


jugagerbang elektronik lain yang sangat mempermudah perencanaan
beberapabentuk rangkaian logika. Gerbang tersebut adalah gerbang-gerbang
NOR,NAND, Exclusive-OR (EXOR), Exclusive-NOR (EXNOR) atau
Equivalence.
Keluaran gerbang NOR adalah komplemen dari keluaran OR, dan
darikenyataan itulah disebut NOR yang merupakan singkatan dari NOT OR.
Jadi,gerbang NOR merupakan gerbang OR yang di keluarannya diberi gerbang
NOTpada keluarannya.
NAND, yang merupakan singkatan daripada NOT AND, jugadapat
dipandang sebagai gabungan antara AND dan NOT, yaitu gerbang ANDdengan
NOT pada keluarannya. Jadi, walaupun NOT-nya ditempelkan didepannama
gerbang- gerbang NOR dan NAND, sebenarnya NOT itu ditempelkan dibagian
keluaran gerbang OR dan AND. Simbol yang dipakai untuk menyatakanNOR
adalah lambang OR yang ditambahkan lingkaran kecil pada keluarannya,dan
lambang untuk NAND adalah lambang AND dengan lingkaran kecil
dikeluarannya.
Untuk masukan A dan B, persamaan keluaran daripada gerbang-
gerbangNOR dan NAND adalah :
 NOR : Z = (𝐴̅+̅𝐵̅)
 NAND : Z = ( 𝐴̅. ̅𝐵̅)
Perhatikan bahwa keluaran NOR benar-benar merupakan komplemen
daripadakeluaran OR dan keluaran NAND merupakan komplemen daripada
INSTITUT TEKNOLOGI - PLN
SMART ELECTRONIC SYSTEM LABORATORY PRAKTIKUM TEKNIK DIGITAL | 7
PRAKTIKUM NAMA: …………………………
TEKNIK DIGITAL NIM: …………………………
AND.Gerbang-gerbang OR dan NOR sebenarnya adalah gerbang-gerbang

INSTITUT TEKNOLOGI - PLN


SMART ELECTRONIC SYSTEM LABORATORY PRAKTIKUM TEKNIK DIGITAL | 8
PRAKTIKUM NAMA: …………………………
TEKNIK DIGITAL NIM: …………………………

inclusive-OR dan inclusive-NOR, walaupun kata inclusivenya tidak


disebutkandengan tegas. Kalau keluaran (inclusive) OR berlogika 1 asal salah
satumasukannya berlogika 1, maka keluaran exclusive-OR (EXOR) hanya
akanberlogika 1 bila kedua masukannya tidak sama. Keluaran exclusive-
NOR(EXNOR), disebut juga Equivalence, hanya akan berlogika 1 bila
keduamasukannya sama.

IC Rangkaian Kombinasional

1. Gerbang NOT
Pada pengaplikasiannya, NOT Gate umumnya dijumpai dalam bentuk IC
(integrated circuit) dengan kode 7404. IC ini memiliki 14 pin dengan pin 14 adalah
VCC dan pin 7 merupakan GND. Pin lainnya merupakan input dan output yang
dapat dilihat pada gambar 4.
IC (Integrated Circuit) ini bekerja pada tegangan sumber antara 4,75 Volt
sampai dengan 5,25 Volt. Terdapat 6 gerbang NOT yang terdiri dari
Pin 1 dan 2 Pin 3 dan 4
Pin 5 dan 6 Pin 13 dan 12
Pin 11 dan 10 Pin 8 dan 9

Gambar 1. IC 7404 NOT Gate (sumber : amazon.com)

Gambar 2. Diagram Rangkaian IC 7404

Pada IC 7404 hanya terdapat satu input saja, dengan komponen


pembangunnya adalah transistor (TTL/ Transistor Transistor Logic).

INSTITUT TEKNOLOGI - PLN


SMART ELECTRONIC SYSTEM LABORATORY PRAKTIKUM TEKNIK DIGITAL | 9
PRAKTIKUM NAMA: …………………………
TEKNIK DIGITAL NIM: …………………………

2. Gerbang AND
IC (integrated circuit) AND Gate yang umum digunakan adalah IC 7408

Gambar 3. IC 7408 AND Gate (sumber : roboelements.com)

Gambar 4. Diagram IC 7408 AND Gate (sumber : elecparts101.com)

IC 7408 memiliki 14 kaki dengan kaki 14 merupakan VCC dan kaki 7


merupakan GND. Pin kaki selain itu merupakan kaki input dan output.
Pada IC 7408 terdapat 2 input pada tiap tiap Gate dan terdapat 1 buah output.
Terdapat 4 gerbang logika dengan konfigurasi berikut :
Input 1 dan 2, output 3
Input 4 dan 5, output 6
Input 13 dan 12, output 11
Input 10 dan 9, output 8

3. Gerbang OR
IC 7432 merupakan komponen yang biasa digunakan untuk membuat
rangkaian OR Gate.

INSTITUT TEKNOLOGI - PLN


SMART ELECTRONIC SYSTEM LABORATORY PRAKTIKUM TEKNIK DIGITAL | 10
PRAKTIKUM NAMA: …………………………
TEKNIK DIGITAL NIM: …………………………

Gambar 5. IC 7432

IC 7432 banyak dimanfaatkan dalam rangkaian digital karena memiliki


tegangan VS antara 4,75 volt sampai 5,25 volt. Komponen pembangun IC ini adalah
transistor-transistor yang dirangkai sedemikian rupa. IC 7432 hanya memiliki 2 input
dan 1 buah output untuk masing-masing gerbang dan terdapat 4 gerbang logika
dengan konfigurasi berikut :
Input pada pin 1 dan 2, output pada pin 3
Input pada pin 4 dan 5, output pada pin 7
Input pada pin 13 dan 12, output pada pin 11
Input pada pin 10 dan 9, output pada pin 8
Sementara pin 14 merupakan Vcc dan pin 7 sebagai GND
Untuk membentuk suatu rangkaian logika OR Gate dengan 3 inputan, maka
dibutuhkan penggunakan 2 gerbang OR.
Berikut merupakan diagram dari IC 7432

Gambar 6. Diagram IC 7432

4. Gerbang NAND
Komponen IC NAND antara lain :
1. IC TTL tipe 74LS00, 74LS10, 74LS20, 74LS30
2. IC CMOS tipe CD4011, CD4023, CD4012

Berikut ini konfigurasi pin beberapa IC gerbang logika NAND

INSTITUT TEKNOLOGI - PLN


SMART ELECTRONIC SYSTEM LABORATORY PRAKTIKUM TEKNIK DIGITAL | 11
PRAKTIKUM NAMA: …………………………
TEKNIK DIGITAL NIM: …………………………

Gambar 7. Konfigurasi Pin IC NAND

5. Gerbang NOR
IC yang paling sering digunakan adalah IC 7402.

Gambar 8. IC 7402 (sumber: indiamart.com)

Gambar 9. Diagram IC 7402 NOR Gate (sumber : munphurid.com)

INSTITUT TEKNOLOGI - PLN


SMART ELECTRONIC SYSTEM LABORATORY PRAKTIKUM TEKNIK DIGITAL | 12
PRAKTIKUM NAMA: …………………………
TEKNIK DIGITAL NIM: …………………………

IC 7402 ini memiliki 14 pin dengan pin 7 merupakan GND dan pin 14
sebagai VCC dan sisanya sebagai input dan output. Terdapat 2 input pada IC 7402
dan terdapat 1 output saja sebagai berikut :
Input 2 dan 3, output 1
Input 5 dan 6, output 4
Input 11 dan 12, output 13
Input 8 dan 9, output 10

6. Gerbang X-OR
IC TTL 7486 adalah IC yang banyak digunakan pada rangkaian digital karena
menggunakan tegangan masuk Vcc antara 4,75 volt sampai 5,25 volt. Komponen ini
terdiri dari transistor-transistor. Berikut contoh dari penggunaan IC TTL 7486 untuk
membuat rangkaian XOR

Gambar 10. Rangkaian XOR Pada IC TTL 7486


7. Gerbang X-NOR
IC TTL adalah IC yang banyak digunakan dalam rangkaian digital karena menggunakan sumber

Gambar 11. IC TTL 74266 untuk membuat rangkaian XNOR.

INSTITUT TEKNOLOGI - PLN


SMART ELECTRONIC SYSTEM LABORATORY PRAKTIKUM TEKNIK DIGITAL | 13
PRAKTIKUM NAMA: …………………………
TEKNIK DIGITAL NIM: …………………………

IV. Tugas Rumah


1. Apa yang dimaksud dengan teknik digital ?
2. Apa yang dimaksud dengan gate dasar & gate tambahan ?
3. Sebutkan dan gambarkan symbol serta rumus gate dasar !
4. Sebutkan dan gambarkan symbol serta rumus gate tambahan !
5. Sebutkan rumus Aljabar Boolean & De Morgan !

INSTITUT TEKNOLOGI - PLN


SMART ELECTRONIC SYSTEM LABORATORY PRAKTIKUM TEKNIK DIGITAL | 14
PRAKTIKUM NAMA: …………………………
TEKNIK DIGITAL NIM: …………………………

1.1 Praktikum NAND Gate


I. Tujuan
Memahami pemrosesan input pada NAND Gate
II. Alat dan Bahan
1. PC (Personal Computer)
2. Aplikasi Proteus
III. Langkah Percobaan

Gambar 1.1. NAND Gate


1. Buatlah rangkaian seperti gambar di atas.

2. Jalankan simulasi dengan menekan tombol Run.

3. Atur input pada A dan B sesuai dengan data pengamatan.

4. Amati output pada Y. Kemudian catat pada data pengamatan.

5. Hentikan simulasi dengan dengan menekan tombol Stop.

IV. Data Pengamatan


Kemudian isilah tabel berikut, dengan mengatur input A dan B
A B Y
0 0
0 1
1 0
1 1

INSTITUT TEKNOLOGI - PLN


SMART ELECTRONIC SYSTEM LABORATORY PRAKTIKUM TEKNIK DIGITAL | 15
PRAKTIKUM NAMA: …………………………
TEKNIK DIGITAL NIM: …………………………

1.2 Praktikum NOT Gate


I. Tujuan
Memahami pemrosesan input pada NOT Gate
II. Alat dan Bahan
1. PC (Personal Computer)
2. Aplikasi Proteus
III. Langkah percobaan

Gambar 1.2. NOT Gate


1. Buatlah rangkaian seperti gambar di atas.

2. Jalankan simulasi dengan menekan tombol Run.

3. Atur input pada A sesuai dengan data pengamatan.

4. Amati output pada Y. Kemudian catat pada data pengamatan.

5. Hentikan simulasi dengan dengan menekan tombol Stop.

IV. Data Pengamatan


Kemudian isilah table berikut, dengan mengatur input A
A Y
0
1

INSTITUT TEKNOLOGI - PLN


SMART ELECTRONIC SYSTEM LABORATORY PRAKTIKUM TEKNIK DIGITAL | 16
PRAKTIKUM NAMA: …………………………
TEKNIK DIGITAL NIM: …………………………
1.3 Praktikum AND Gate
I. Tujuan
Memahami pemrosesan input pada AND Gate
II. Alat dan Bahan
1. PC (Personal Computer)
2. Aplikasi Proteus

III. Langkah Percobaan

Gambar 1.3. AND Gate


1. Buatlah rangkaian seperti gambar di atas.

Jalankan simulasi dengan menekan tombol Run.

Atur input pada A dan B sesuai dengan data pengamatan.

Amati output pada Y. Kemudian catat pada data pengamatan.

Hentikan simulasi dengan dengan menekan tombol Stop.

IV. Data Percobaan


Kemudian isilah tabel berikut, dengan mengatur input A dan B

A B Y
0 0
0 1
1 0
1 1

INSTITUT TEKNOLOGI - PLN


SMART ELECTRONIC SYSTEM LABORATORY PRAKTIKUM TEKNIK DIGITAL | 17
PRAKTIKUM NAMA: …………………………
TEKNIK DIGITAL NIM: …………………………

1.4 Praktikum NOR Gate


I. Tujuan
Memahami pemrosesan input pada NOR Gate
II. Alat dan Bahan
1. PC (Personal Computer)
2. Aplikasi Proteus
III. Langkah Percobaan

Gambar 1.4. NOR Gate


1. Buatlah rangkaian seperti gambar di atas.

2. Jalankan simulasi dengan menekan tombol Run.

3. Atur input pada A dan B sesuai dengan data pengamatan.

4. Amati output pada Y. Kemudian catat pada data pengamatan.

5. Hentikan simulasi dengan dengan menekan tombol Stop.

IV. Data Pengamatan


Kemudian isilah tabel berikut, dengan mengatur input A dan B
A B Y
0 0
0 1
1 0
1 1

INSTITUT TEKNOLOGI - PLN


SMART ELECTRONIC SYSTEM LABORATORY PRAKTIKUM TEKNIK DIGITAL | 18
PRAKTIKUM NAMA: …………………………
TEKNIK DIGITAL NIM: …………………………

1.5 Praktikum OR Gate


I. Tujuan
Memahami pemrosesan input pada OR Gate
II. Alat dan Bahan
1. PC (Personal Computer)
2. Aplikasi Proteus
III. Langkah Percobaan

Gambar 1.5. OR Gate


Buatlah rangkaian seperti gambar di atas.

Jalankan simulasi dengan menekan tombol Run.

Atur input pada A dan B sesuai dengan data pengamatan.

Amati output pada Y. Kemudian catat pada data pengamatan.

Hentikan simulasi dengan dengan menekan tombol Stop.

IV. Data Pengamatan


Kemudian isilah tabel berikut, dengan mengatur input A dan B.

A B Y
0 0
0 1
1 0
1 1

INSTITUT TEKNOLOGI - PLN


SMART ELECTRONIC SYSTEM LABORATORY PRAKTIKUM TEKNIK DIGITAL | 19
PRAKTIKUM NAMA: …………………………
TEKNIK DIGITAL NIM: …………………………

1.6 Praktikum XOR Gate


I. Tujuan
Memahami pemrosesan input pada XOR Gate

II. Alat dan Bahan


1. PC (Personal Computer)
2. Aplikasi Proteus
III. Langkah Percobaan

Gambar 1.6. XOR Gate


1. Buatlah rangkaian seperti gambar di atas.

2. Jalankan simulasi dengan menekan tombol Run.

3. Atur input pada A dan B sesuai dengan data pengamatan.

4. Amati output pada Y. Kemudian catat pada data pengamatan.

5. Hentikan simulasi dengan dengan menekan tombol Stop.

IV. Data Pengamatan


Kemudian isilah tabel berikut, dengan mengatur input A dan B
A B Y
0 0
0 1
1 0
1 1

INSTITUT TEKNOLOGI - PLN


SMART ELECTRONIC SYSTEM LABORATORY PRAKTIKUM TEKNIK DIGITAL | 20
PRAKTIKUM NAMA: …………………………
TEKNIK DIGITAL NIM: …………………………

1.7 Praktikum XNOR Gate


I. Tujuan
Memahami pemrosesan input pada XNOR Gate
II. Alat dan Bahan
1. PC (Personal Computer)
2. Aplikasi Proteus
III. Langkah Percobaan

Gambar 1.7. XNOR Gate


Buatlah rangkaian seperti gambar di atas.

Jalankan simulasi dengan menekan tombol Run.

Atur input pada A dan B sesuai dengan data pengamatan.

Amati output pada Y. Kemudian catat pada data pengamatan.

Hentikan simulasi dengan dengan menekan tombol Stop.

IV.Data Pengamatan
Kemudian isilah tabel berikut, dengan mengatur input A dan B:

A B Y
0 0
0 1
1 0
1 1

INSTITUT TEKNOLOGI - PLN


SMART ELECTRONIC SYSTEM LABORATORY PRAKTIKUM TEKNIK DIGITAL | 21
PRAKTIKUM NAMA: …………………………
TEKNIK DIGITAL NIM: …………………………

VII. Tugas Akhir


Diketahui suatu fungsi sebagai berikut:
a). F = A.B + B’A’
b). F = (A.B) + A’+B’
c). F = (A.B.C) + A(B’+C’)
Pertanyaan:
 Buat rangkaian menggunakan gerbang digital
 Buat rangkaian dengan IC TTL
 Buat tabel kebenarannya
Buat timing kebenarannya

INSTITUT TEKNOLOGI - PLN


SMART ELECTRONIC SYSTEM LABORATORY PRAKTIKUM TEKNIK DIGITAL | 22
PRAKTIKUM NAMA: …………………………
TEKNIK DIGITAL NIM: …………………………

MODUL II

PENYEDERHANAAN RANGKAIAN
KOMBINASIONAL DENGAN MENGGUNAKAN
ALJABAR BOOLEAN
I. Tujuan
1. Dapat menganalisa kerja dari gerbang-gerbang logika.
2. Mengetahui tabel kebenaran dari rangkaian gerbang logika kombinasi.
3. Dapat menyederhanakan sebuah rangkaian logika melalui persamaan
Boolean.

II. Alat & Bahan


1. PC (Personal Computer)
2. Aplikasi Proteus

III. Teori Modul

A. GERBANG LOGIKA INDEPENDENT


Gerbang logika dasar independent adalah rangkaian gerbang logika
dengan masukan lebih dari dua dimana masukannnya terdiri dari gabungan
jenis-jenis gerbang logika dasar dan menghasilkan satu keluaran berupa
tegangan tinggi (1) dan tegangan rendah (0). Gerbang logika dianalisis
dengan menggunakan aljabar boolean, maka dari itu gerbang logika sering
disebut rangkaian logika. Rangkaian logika sering ditemukan dalam sirkuit
digital yang diimplementasikan secara elektronik dengan menggunakan dioda
atau transistor.

Gambar 2.1 Gerbang logika dasar independent dari gabungan AND dan OR

INSTITUT TEKNOLOGI - PLN


SMART ELECTRONIC SYSTEM LABORATORY PRAKTIKUM TEKNIK DIGITAL | 23
PRAKTIKUM NAMA: …………………………
TEKNIK DIGITAL NIM: …………………………

INPUT OUTPUT
A B C D A.B C.D (A.B) + (C.D)
0 0 0 0 0 0 0
0 0 0 1 0 0 0
0 0 1 0 0 0 0
0 0 1 1 0 1 1
0 1 0 0 0 0 0
0 1 0 1 0 0 0
0 1 1 0 0 0 0
0 1 1 1 0 1 1
1 0 0 0 0 0 0
1 0 0 1 0 0 0
1 0 1 0 0 0 0
1 0 1 1 0 1 1
1 1 0 0 1 0 1
1 1 0 1 1 0 1
1 1 1 0 1 0 1
1 1 1 1 1 1 1
Tabel 2.1 Tabel kebenaran gabungan AND-OR

B. ALJABAR BOOLEAN
Aljabar boolean merupakan aljabar yang berhubungan dengan variabel-
variabel biner dan operasi-operasi logik. Variabel-variabel diperlihatkan dengan
huruf-huruf alfabet, dan tiga operasi dasar dengan AND, OR dan NOT
(komplemen).
Fungsi boolean terdiri dari variabel-variabel biner yang menunjukkan
fungsi, suatu tanda sama dengan, dan suatu ekspresi aljabar yang dibentuk
dengan menggunakan variabel-variabel biner, konstanta-konstanta 0 dan 1,
simbol-simbol operasi logik, dan tanda kurung. Suatu fungsi boolean bisa
dinyatakan dalam tabel kebenaran. Suatu tabel kebenaran untuk fungsi boolean
merupakan daftar semua kombinasi angka-angka biner 0 dan 1 yang diberikan
ke variabel-variabel binerdan daftar yang memperlihatkan nilai fungsi untuk
masing-masing kombinasi biner.
Aljabar boolean mempunyai 2 fungsi berbeda yang saling berhubungan.
Dalam arti luas, aljabar boolean berarti suatu jenis simbol-simbol yang
ditemukan oleh George Boole untuk memanipulasi nilai-nilai kebenaran
logika secara aljabar.
Aljabar Boolean dapat didefinisikan dengan suatu himpunan unsur dan

INSTITUT TEKNOLOGI - PLN


SMART ELECTRONIC SYSTEM LABORATORY PRAKTIKUM TEKNIK DIGITAL | 24
PRAKTIKUM NAMA: …………………………
TEKNIK DIGITAL NIM: …………………………

sejumlah aturan-aturan untuk menentukan logika digital, atau “switching


algebra”, yaitu berupa aksioma-aksioma dan teorema-teorema. Operator-
operator yang digunakan pada Aljabar Boolean : { · , + , „ ,  }. Aksioma-
aksioma dan Teorema-aksioma digunakan untuk membantu atau mereduksi
logika kompleks menjadi logika lebih sederhana meningkatkan “area dan
kecepatan” dari rangkaian digital.

Aksioma dan Teorema pada Aljabar Boolean


Aksioma merupakan kumpulan definisi dasar minimal yang diasumsikan benar dan
secara menyeluruh mendefinisikan aljabar switching dan dapat digunakan untuk
membuktikan teorema-teorema aljabar switching lainnya.
Berikut Aksioma-aksioma dan teorema-teorema dalam Aljabar Boolean :

Contoh penerapan hukum Aljabar Boolean :

1. a + a’b
penyelesaian
a + a’b = (a + ab) + a’b (Hukum Penyerapan)
= a + (ab + a’b) (Hukum Asosiatif)
= a + (a + a’)b (Hukum Distributif) (Hukum Komplemen)
=a+1b (Hukum Identitas)
=a+b

INSTITUT TEKNOLOGI - PLN


SMART ELECTRONIC SYSTEM LABORATORY PRAKTIKUM TEKNIK DIGITAL | 25
PRAKTIKUM NAMA: …………………………
TEKNIK DIGITAL NIM: …………………………

A B a’ a’b a + a’b a+b


0 0 1 0 0 0
0 1 1 1 1 1
1 0 0 0 1 1
1 1 0 0 1 1

Tabel 2.1 Tabel pembuktian persamaan a + a’b

2. a (a’ + b)
penyelesaian
a(a’ + b) = a a’ + ab (Hukum Distributif) (Hukum Komplemen)
= 0 + ab (Hukum Identitas)
= ab

a b a’ a’+ b a(a’+b) a.b


0 0 1 1 0 0
0 1 1 1 0 0
1 0 0 0 0 0
1 1 0 1 1 1

Tabel 2.2 Tabel pembuktian persamaan a(a’ + b)

Penyederhanaan Gerbang Logika

Gambar 2.2 Gerbang logika kombinasi dari gabungan AND, OR, NOT, dan NAND

INSTITUT TEKNOLOGI - PLN


SMART ELECTRONIC SYSTEM LABORATORY PRAKTIKUM TEKNIK DIGITAL | 26
PRAKTIKUM NAMA: …………………………
TEKNIK DIGITAL NIM: …………………………

INPUT OUTPUT (Y)


A B C ABC

0 0 0 0 0 0 0
0 0 1 0 1 0 0
0 1 0 0 0 0 0
0 1 1 0 1 0 0
1 0 0 0 1 1 1
1 0 1 0 1 1 1
1 1 0 0 1 0 0
1 1 1 1 1 0 1

Tabel 2.3 Tabel kebenaran gabungan AND, OR, NOT, dan NAND

Penyederhanaan gerbang logika menggunakan aljabar bolean

Z = ABC + A𝑩̅
̅(̅𝑨̅𝑪̅
̅)

Menggunakan teori demorgan didapat :


Z = ABC + A 𝐵̅ ( 𝐴̅ + 𝐶̅) (Hukum De Morgan)
= ABC + A 𝐵̅ (𝐴 + 𝐶) (Hukum Involusi) (Hukum Distributif)
= ABC + A𝐵̅A + A𝐵̅C (Hukum Idempoten) [A. A = A]
= ABC + A 𝐵̅ + A𝐵̅C (Hukum Distributif)
= AC (B + 𝐵̅ ) + A 𝐵̅ (Hukum Komplemen) [B + 𝐵̅ = 1]
= AC . 1 + A 𝐵̅ (Hukum Distributif)
Z = A(C + 𝑩̅ )

INSTITUT TEKNOLOGI - PLN


SMART ELECTRONIC SYSTEM LABORATORY PRAKTIKUM TEKNIK DIGITAL | 27
PRAKTIKUM NAMA: …………………………
TEKNIK DIGITAL NIM: …………………………

Rangkaian Sederhana

Gambar 2.3 Rangkaian logika yang telah disederhanakan

INPUT OUTPUT (Y)


A B C

0 0 0 1 0
0 0 1 1 0
0 1 0 0 0
0 1 1 1 0
1 0 0 1 1
1 0 1 1 1
1 1 0 0 0
1 1 1 1 1

Tabel 2.4 Tabel kebenaran Persamaan Z = A(C +


𝑩̅ )

INSTITUT TEKNOLOGI - PLN


SMART ELECTRONIC SYSTEM LABORATORY PRAKTIKUM TEKNIK DIGITAL | 28
PRAKTIKUM NAMA: …………………………
TEKNIK DIGITAL NIM: …………………………

IV. Tugas Rumah


1. Jelaskan macam – macam metode penyederhanaan rangkaian logika ?
2. Apa tujuan dari penyederhanaan rangkaian logika serta keuntungan dari
penyederhanaan rangkaian tersebut ?
3. Apa yang dimaksud dengan rangkaian kombinasional ?
4. Sebutkan teorema-teorema dalam Aljabar Boolean?
5. Sederhanakanlah rangkaian berikut menggunakan aljabar boolean !

INSTITUT TEKNOLOGI - PLN


SMART ELECTRONIC SYSTEM LABORATORY PRAKTIKUM TEKNIK DIGITAL | 29
PRAKTIKUM NAMA: …………………………
TEKNIK DIGITAL NIM: …………………………
2.1 Penyederhanaan Rangkaian
I. Tujuan
Dapat menyederhanakan sebuah rangkaian logika melalui persamaan Boolean dan Tabel
Kebenaran yang diketahui.

II. Alat & Bahan


1. PC (Personal Computer)
2. Aplikasi Proteus

III. Langkah Percobaan


1. Percobaan Menyederhanaan Z = AB
̅
(̅𝑨̅+̅
̅𝑩̅𝑪̅)

1. Buatlah rangkaian seperti gambar diatas menggunakan PROTEUS


2. Gunakan gerbang AND, NOT, dan NOR untuk membentuk rangkaian
3. Untuk melihat perubahan keluaran untuk setiap masukan gunakan
LOGICPROBE (BIG) dan LOGICSTATE
4. Amatilah perubahan yang terjadi, dan catat pada tabel pengamatan
5. Sederhanakan rangkaian dengan menggunakan persamaan Aljabar Boolean
6. Buatlah rangkaian dari hasil penyederhanaan
7. Amatilah perubahan yang terjadi, dan catat pada tabel pengamatan

INSTITUT TEKNOLOGI - PLN


SMART ELECTRONIC SYSTEM LABORATORY PRAKTIKUM TEKNIK DIGITAL | 30
PRAKTIKUM NAMA: …………………………
TEKNIK DIGITAL NIM: …………………………
IV. Data Pengamatan
a. Percobaan Menyederhanaan Z = AB
̅
(̅𝑨̅+̅
̅𝑩̅𝑪̅)

INPUT OUTPUT (Y)


A B C AB BC

0 0 0
0 0 1
0 1 0
0 1 1
1 0 0
1 0 1
1 1 0
1 1 1

Tabel
Penyederhanaan Aljabar Boolean :

Gambar Rangkaian yang telah disederhanakan menggunakan gerbang logika :

INSTITUT TEKNOLOGI - PLN


SMART ELECTRONIC SYSTEM LABORATORY PRAKTIKUM TEKNIK DIGITAL | 31
PRAKTIKUM NAMA: …………………………
TEKNIK DIGITAL NIM: …………………………

Gambar Rangkaian yang telah disederhanakan menggunakan IC TTL :

Tabel Kebenaran
INPUT
OUTPUT (Y)
A B C
0 0 0
0 0 1
0 1 0
0 1 1
1 0 0
1 0 1
1 1 0
1 1 1

INSTITUT TEKNOLOGI - PLN


SMART ELECTRONIC SYSTEM LABORATORY PRAKTIKUM TEKNIK DIGITAL | 32
PRAKTIKUM NAMA: …………………………
TEKNIK DIGITAL NIM: …………………………
V. Tugas Akhir
Diketahui suatu fungsi sebagai berikut:
a). F = 𝐴̅𝐵𝐶 + 𝐴𝐵𝐶 + 𝐴 𝐵̅𝐶 + 𝐴𝐵𝐶 + 𝐴𝐵𝐶̅ + 𝐴𝐵𝐶
b). F = 𝐴̅𝐵̅𝐶𝐷 + 𝐴 ̅ 𝐵̅𝐶 𝐷̅ + 𝐴 𝐵̅𝐶 ̅ 𝐷̅ + 𝐴 𝐵̅𝐶 𝐷̅
c). F = (AB + B)(B + CC +
̅
̅̅̅
̅̅
̅̅
̅
̅

Pertanyaan:
• Buat rangkaian menggunakan gerbang digital dan buat tabel kebenarannya
• Sederhanakan persamaan menggunakan Aljabar Boolean
• Buat rangkaian menggunakan gerbang digital dan buat tabel kebenarannya dari
hasil penyederhanaannya

INSTITUT TEKNOLOGI - PLN


SMART ELECTRONIC SYSTEM LABORATORY PRAKTIKUM TEKNIK DIGITAL | 33
PRAKTIKUM NAMA: …………………………
TEKNIK DIGITAL NIM: …………………………

MODUL III

FLIP-FLOP DAN COUNTER

I. Tujuan
1. Memahami pemrosesan dan kondisi-konsisi yang terdapat pada flip-flop
2. Memahami tentang Input dan Output dari Counter

II. Alat & Bahan


1. PC (Personal Computer)
2. Aplikasi Proteus

III. Teori Modul


FLIP-FLOP
Flip-flop adalah suatu rangkaian yang terdiri dari elemen aktif (transistor) yang
kerjanya saling bergantian, Flip-flop merupakan suatu rangkaian yang keluarannya tidak
tergantung dari kombinasi masukkan, tetapi juga dipengaruhi kondisi keluaran sebelumnya.
Flip-flop bersifat Bistable : dua kondisi yang stabil 0 atau 1. Kondisi ini akan tetap stabil
tidak akan berubah jika tidak ada pemicu (input) yang masuk.
Jenis-jenis flip-flop :
1. RS flip-flop
2. JK flip-flop dan JK flip-flop dengan PRESET & ClEAR
3. D flip-flop

3. RS flip-flop
Flip –flop ini memiliki dua masukkan dan dua keluaran. RS flip-flop mempunyai
dua inputan yaitu S = set dan R = reset, mempunyai 2 output yaitu Q dan Q’ . Output Q
dianggap merupakan output normal, dan dalam kondisi normal kedua output selalu
merupakan komplementer.
Symbol RS flip-flop :

INSTITUT TEKNOLOGI - PLN


SMART ELECTRONIC SYSTEM LABORATORY PRAKTIKUM TEKNIK DIGITAL | 34
PRAKTIKUM NAMA: …………………………
TEKNIK DIGITAL NIM: …………………………
Skema RS Flip-flop :

4. JK Flip-Flop
Flip-flop ini dapat dianggap sebagai flip-flop universal, karena flip-flop jenis lain
dapat dibuat dari flip-flop JK. Simbol logika pada Gambar 7 mengilustrasikan tiga input
sinkron (J, K dan CK). Input J dan K merupakan input data, dan input clock
memindahkan data dari input ke output. Diperlukan keseluruhan pulsa (bukan sekedar
tansisi low ke high atau high ke low saja) untuk memindahkan data dari input ke output.
Dua sifat unik dari flip-flop JK adalah:
1. Jika kedua data input pada keadaan nol, tidak akan terjadi perubahan pada output
meskipun diberikan sinyal clock (output tetap).
2. Jika kedua data input pada keadaan satu, pada tiap pulsa clock data output akan
berubah dari sebelumnya (komplemen dari data sebelumnya).
Kita dapat membangun suatu flip-flop JK dari gerbang NAND. Nampak bahwa
sebenarnya flip-flop JK terdiri dari dua flip-flop yang terangkai menjadi satu. Flip-flop
yang kedua (slave-budak) mengikuti keadaan yang ditentukan oleh flip- flop yang
pertama (master-tuan). Suatu transisi hanya dapat terjadi dengan satu pulsa clock penuh.
Symbol flip-flop JK

IC yang digunakan dalam JK flip-flop adalah IC 7400.

INSTITUT TEKNOLOGI - PLN


SMART ELECTRONIC SYSTEM LABORATORY PRAKTIKUM TEKNIK DIGITAL | 35
PRAKTIKUM NAMA: …………………………
TEKNIK DIGITAL NIM: …………………………
Skema JK flip-flop :

JK Flip-flop dengan Preset & Clear


Samahalnya dengan JK Flip-flop, hanya saja flip-flop ini ditmbah dengan inputan
Preset dan inputan clear. JK flip-flop dengan Preset & Clear ini menggunakan IC 7476.
Simbol JK FF dengan Preset & Clear :

Skema JK FF dengan Preset & Clear :

5. D Flip-flop
Merupakan modifikasi dari RS flip-flop dengan tambahan gerbang pembalik pada
masukan R sehinga R merupakan komplemen dari masukan S. Saat D = 0 keadaan flip-
flop reset (Q = 0) sedangkan bila D = 1 maka keadaan flip-flop set ( Q = 1).
Simbol D flip-flop :

INSTITUT TEKNOLOGI - PLN


SMART ELECTRONIC SYSTEM LABORATORY PRAKTIKUM TEKNIK DIGITAL | 36
PRAKTIKUM NAMA: …………………………
TEKNIK DIGITAL NIM: …………………………
Skema D Flip-flop :

COUNTER
Counter juga disebut pencacah atau penghitung yaitu rangkaian logika sekuensial
yang digunakan untuk menghitung jumlah pulsa yang diberikan pada bagian masukan.
Dilihat dari arah cacahan, rangkaian pencacah dibedakan atas pencacah naik (Up Counter)
dan pencacah turun (Down Counter). Pencacah naik melakukan cacahan dari kecil ke arah
besar, kemudian kembali ke cacahan awal secara otomatis. Pada pencacah menurun,
pencacahan dari besar ke arah kecil hingga cacahan terakhir kemudian kembali ke cacahan
awal.
Counter tersusun atas sederetan flip-flop yang dimanipulasi sedemikian rupa dengan
menggunakan peta Karnough sehingga pulsa yang masuk dapat dihitung sesuai rancangan.
Dalam perancangannya counter dapat tersusun atas semua jenis flip-flop, tergantung
karakteristik masing-masing flip-flop tersebut.

Jenis Jenis Digital Counter


Secara garis besar, digital counter terbagi menjadi dua jenis yakni syncronus counter
dan juga asyncronous counter. Untuk syncronous counter, pemicuan flip flop dilakukan
bersamaan yang dipicu oleh satu sumber clock dimana susunan flip flop-nya paralel.
Sementara untuk asyncronous counter, setidaknya ada salah satu flip flop yang clock-nya
dipicu keluaran flip flop lain atau dari sumber clock lain serta susunan flip flop itu sendiri.
Dengan memanipulasi koneksi flip flop tersebut, maka akhirnya bisa dihasilkan counter
acak, shift counter sebagai fungsi register atau up down counter.

INSTITUT TEKNOLOGI - PLN


SMART ELECTRONIC SYSTEM LABORATORY PRAKTIKUM TEKNIK DIGITAL | 37
PRAKTIKUM NAMA: …………………………
TEKNIK DIGITAL NIM: …………………………
1. Synchronous Counter
Syncronous counter mempunyai pemicuan yang berasal dari cloks yang sama serta
susunan flip flop yang pararel. Pada synchronous counter tersebut memiliki perbedaan
penempatan atau manipulasi gerbang dasar sehingga juga membuat perbedaan waktu
tunda yang dinamakan dengan carry propagation delay.
Untuk penerapan counter saat aplikasi adalah chip IC baik itu IC TTL atau CMOS
seperti [TTL] 7490, 74190, 7493, 7491, 7492, 7493, [CMOS] 4017, 4029, 4042 dan
sebagainya.
Sedangkan pada counter sinkron, sumber clock akan diberikan pada setiap input
clock dari flip flop penyusun. Untuk itu jika terjadi perubahan pulsa dari sumber, maka
perubahan itu akan men-trigger semua flip flop secara bersamaan.

2. Asyncronous Counter
Asyncronous counter terdiri dari flip flop yang dihubungkan seri dan pemicunya
akan tergantung dari flip flop sebelumnya. Lalu, nantinya akan menjalar hingga flip flop
MSB sehingga disebut juga dengan ripple through counter.
Counter asinkron terdiri dari barisan flip flop yang dikonfigurasikan dengan cara
menyambung output satu dengan yang lain. Berikutnya, sebuah sinyal yang terpasang
pada input clok FF pertama akan mengubah kedudukan output jika tebing atau edge yang
benar dan dibutuhkan terdeteksi.
Output kemudian akan men-trigger inputclock berikutnya pada saat terjadi tebing
yang semestinya sampai. Dengan cara tersebut, maka sinyal pada input akan meriple dan
satu FF T bisa membagi sinyal input dengan faktor dua. Sehingga, counter bisa
menghitung dari mulai 0 hingga 2 = 1 dengan N sama dengan banyaknya flip flop.

VI. Tugas Rumah


1. Apa yang dimaksud dengan counter? Sebutkan contoh pengaplikasian counter (Min. 3)!
2. Gambarkan dan tuliskan konfigurasi dari 7-segment!
3. Apa yang dimaksud dengan flip-flop? Sebutkan jenis-jenis flip-flop!
4. Sebutkan dan jelaskan kondisi-kondisi pada flip-flop yang anda ketahui!
5. Apa yang dimaksud dengan IC? Sebutkan IC counter dan flip-flop yang anda ketahui!

INSTITUT TEKNOLOGI - PLN


SMART ELECTRONIC SYSTEM LABORATORY PRAKTIKUM TEKNIK DIGITAL | 38
PRAKTIKUM NAMA: …………………………
TEKNIK DIGITAL NIM: …………………………

3.1 Praktikum Flip-flop


I. Tujuan
Memahami pemrosesan dan kondisi-konsisi yang terdapat pada flip-flop

II. Alat & Bahan


1. PC (Personal Computer)
2. Aplikasi Proteus

III. Langkah Percobaan


a. Percobaan SR Flip-flop

Gambar 2.1 Rangkaian SR Flip Flop


8. Buatlah rangkaian seperti gambar di atas menggunakan PROTEUS
9. Gunakan gerbang NAND untuk membentuk rangkaian
10. Untuk melihat perubahan keluaran untuk setiap masukan gunakan
LOGICPROBE (BIG) dan LOGICSTATE
11. Amatilah perubahan yang terjadi, dan catat pada tabel pengamatan

b. Percobaan JK Flip-flop

Gambar 2.2 Rangkaian JK Flip-Flop

INSTITUT TEKNOLOGI - PLN


SMART ELECTRONIC SYSTEM LABORATORY PRAKTIKUM TEKNIK DIGITAL | 39
PRAKTIKUM NAMA: …………………………
TEKNIK DIGITAL NIM: …………………………
1. Buatlah rangkaian seperti gambar di atas menggunakan PROTEUS
2. Gunakan gerbang JK-FF dan CLOCK untuk membentuk rangkaian
3. Untuk melihat perubahan keluaran untuk setiap masukan gunakan
LOGICPROBE (BIG) dan LOGICSTATE
4. Amatilah perubahan yang terjadi, dan catat pada tabel pengamatan

IV. Data Pengamatan


1. Percobaan SR Flip-flop
R S Q -Q KONDISI
0 0
0 1
1 0
1 1

2. Percobaan JK Flip-flop
RST J K CLK Q -Q KONDISI
0 X X X
1 0 0 ON
1 0 1 ON
1 1 0 ON
1 1 1 ON

INSTITUT TEKNOLOGI - PLN


SMART ELECTRONIC SYSTEM LABORATORY PRAKTIKUM TEKNIK DIGITAL | 40
PRAKTIKUM NAMA: …………………………
TEKNIK DIGITAL NIM: …………………………

3.2 Praktikum Counter


I. Tujuan
Memahami tentang Input dan Output dari Counter

II. Alat & Bahan


1. PC (Personal Computer)
2. Aplikasi Proteus

III. Langkah Percobaan

1. Buatlah rangkaian seperti gambar di atas menggunakan PROTEUS


2. Gunakan IC 74LS191, IC 7447 serta 7SEG-MPX1-CA untuk melihat perubahan
3. Untuk melihat perubahan bilangan biner digunakan LOGICPROBE (BIG)
4. Pin -E, D/-U dan -PL dihubungkan ke VCC +5
5. Amatilah perubahan yang terjadi, dan catat pada tabel pengamatan

IV. Data Pengamatan

Up Counter

No Q3 Q2 Q1 Q0 Decimal 7-Segment

1 0 0 0 0 0

2 0 0 0 1 1

3 0 0 1 0 2

4 0 0 1 1 3

INSTITUT TEKNOLOGI - PLN


SMART ELECTRONIC SYSTEM LABORATORY PRAKTIKUM TEKNIK DIGITAL | 41
PRAKTIKUM NAMA: …………………………
TEKNIK DIGITAL NIM: …………………………

5 0 1 0 0 4

6 0 1 0 1 5

7 0 1 1 0 6

8 0 1 1 1 7

9 1 0 0 0 8

10 1 0 0 1 9

11 1 0 1 0 10

12 1 0 1 1 11

13 1 1 0 0 12

14 1 1 0 1 13

15 1 1 1 0 14

16 1 1 1 1 15

Down Counter

No Q3 Q2 Q1 Q0 Decimal 7-Segment

1 1 1 1 1 15

2 1 1 1 0 14

3 1 1 0 1 13

INSTITUT TEKNOLOGI - PLN


SMART ELECTRONIC SYSTEM LABORATORY PRAKTIKUM TEKNIK DIGITAL | 42
PRAKTIKUM NAMA: …………………………
TEKNIK DIGITAL NIM: …………………………

4 1 1 0 0 12

5 1 0 1 1 11

6 1 0 1 0 10

7 1 0 0 1 9

8 1 0 0 0 8

9 0 1 1 1 7

10 0 1 1 0 6

11 0 1 0 1 5

12 0 1 0 0 4

13 0 0 1 1 3

14 0 0 1 0 2

15 0 0 0 1 1

16 0 0 0 0 0

INSTITUT TEKNOLOGI - PLN


SMART ELECTRONIC SYSTEM LABORATORY PRAKTIKUM TEKNIK DIGITAL | 43
PRAKTIKUM NAMA: …………………………
TEKNIK DIGITAL NIM: …………………………

V. Tugas Akhir
1. Buat rangkaian pencacah 4 digit dengan menggunakan IC TTL jenis counter
dengan menggunakan preset dan preclear! Buat tabel kebenaran dan diagram
waktunya.

2. Buat rangkaian pencacah 3 digit dengan menggunakan IC TTL dengan IC jenis JK


flip-flop dengan menggunakan preset dan preclear! Buat tabel kebenaran dan
diagram waktunya.

INSTITUT TEKNOLOGI - PLN


SMART ELECTRONIC SYSTEM LABORATORY PRAKTIKUM TEKNIK DIGITAL | 44
PRAKTIKUM NAMA: …………………………
TEKNIK DIGITAL NIM: …………………………

MODUL IV
REGISTER

I. Tujuan
Memahami pergeseran data dengan menggunakan shift register jenis Serial Input- Paralel
Output (SIPO), Paralel Input Paralel Output (PIPO), Serial Input Serial Output (SISO)
dan Paralel Input Serial Output (PISO).

II. Alat & Bahan


1. PC (Personal Computer)
2. Aplikasi Proteus

III. Teori Modul


Register geser (Shift register) merupakan salah satu piranti fungsional yang
banyak digunakan di dalam sistem digital. Pada sistem digital register geser digunakan
untuk menggeser suatu data. Pergeseran data pada register dapat dilakukan dalam dua
arah yaitu ke arah LSB (Low Significant Bit) dan ke arah MSB (Most Significant Bit).
Register geser dikelompokkan sebagai rangkaian logika, dan oleh sebab itu suatu
register disusun dari flip-flop. Register geser digunakan sebagai memori sementara dan
untuk pergeseran data ke kiri atau ke kanan. Register geser dapat juga digunakan untuk
mengubah format data seri ke paralel atau dari paralel ke seri.
Register geser dapat di klasifikasikan dalam beberapa jenis, seperti Serial Input-
Paralel Output (SIPO), Paralel Input Paralel Output (PIPO), Serial Input Serial Output
(SISO) dan Paralel Input Serial Output (PISO).

A. Serial Input- Paralel Output (SIPO)

Register geser ini akan menggeser data seri dan mengeluarkannya dalam format
paralel tanpa mengubah nilai data tersebut. Pada bagian ini akan dibahas rangkaian
penggeser data, yang tidak lain merupakan bagian dari fungsi pembentukan format data
serial kebentuk format data paralel. Salah satu piranti yang memiliki fungsi ini adalah
IC 74164. fasilitas yang disediakan adalah :

INSTITUT TEKNOLOGI - PLN


SMART ELECTRONIC SYSTEM LABORATORY PRAKTIKUM TEKNIK DIGITAL | 45
PRAKTIKUM NAMA: …………………………
TEKNIK DIGITAL NIM: …………………………

1. MR (master reset) : untuk proses inisialisasi dimana semuakeluaran dipaksakan ke


nilai "0". Sesuai sifat D-FF, bila kisipengendali CLR di aktifkan maka keluaran Q
akan bemilai "0".

2. Cp (clock) : pulsa pewaktu yang dipergunakan untuk menggeserdata serial ke format


paralel.

3. A,B (2 masukan) : merupakan masukan data serial, karena A danB di AND maka
baik A atau B yang tidak dipergunakan untukmengumpan data masukan, harus di beri
nilai " 1 ", supaya masukandatapada kisi masukan lainya benar.

Gambar 3.1. Rangkaian SIPO beserta IC 74164

B. Paralel Input Paralel Output (PIPO)

Untuk register parallel in - parallel out (PIPO), semua bit data terbaca secara
parallel pada keluaran setelah data diberikan pada masukan secara parallel. Pemasukan
bit data secara parallel tersebut dilakukan secara bersamaan, yaitu dengan memberikan
satu kali clock maka data akan disimpan oleh flipflop. Oleh karena bit data jumlahnya
adalah 8 bit maka kombinasi nilai yang tersimpan adalah berbatas terendah (0000
0000)B
= (0)D dan batas tertinggi adalah (1111 1111)B = (255)D.
Gambar 3.2 merupakan rangkaian register dengan masukan parallel dan keluaran
parallel, sedangkan clock untuk memasukan data diberikan secara bersamaan. Untuk
INSTITUT TEKNOLOGI - PLN
SMART ELECTRONIC SYSTEM LABORATORY PRAKTIKUM TEKNIK DIGITAL | 46
PRAKTIKUM NAMA: …………………………
TEKNIK DIGITAL NIM: …………………………
memasukan data secara parallel dikendalikan oleh L /bit, (L=load, R=read) jadi pada
saat

INSTITUT TEKNOLOGI - PLN


SMART ELECTRONIC SYSTEM LABORATORY PRAKTIKUM TEKNIK DIGITAL | 47
PRAKTIKUM NAMA: …………………………
TEKNIK DIGITAL NIM: …………………………

diberi logika 1 dengan disertai satu kali clock maka data secara parallel dimasukan
mulai dari D0 sampai dengan D7. Sedangkan untuk mengeluarkan bit data yang
tersimpan dalam register tersebut harus diberikan logika 0 pada saluran L , dan data
secara paralel dapat dikeluarkan secara serentak (bersamaan) melalui Q0 sampai Q7
tanpa pemberian clock. Hal ini bisa terjadi karena untuk setiap keluaran flip=flop
disambung ke gerbang AND, sedangkan inputan gerbang AND satunya lagi
disambungkan dengan saluran L = 0, dengan adanya gerbang NOT maka semua gerbang
AND mendapat logika 1 sehingga Q0 sampai Q7 sama dengan isi register.

Gambar 3.2. Register Parallel In-Parallel Out (PIPO)

C. Serial Input Serial Output (SISO)

Register geser jenis ini tidak mengubah format data, karena dengan data input seri
dan dikeluarkannya dalam format seri juga, yang berubah adalah nilai dari data tersebut.
Dasar register geser empat-bit dapat dirangkai dengan menggunakan empat D
flipflop, seperti yang diperlihatkan di bawah. Selama pulsa clock, satu bit ditransmisikan
dari kiri ke kanan. Menerima suatu kata data menjadi 1001.

INSTITUT TEKNOLOGI - PLN


SMART ELECTRONIC SYSTEM LABORATORY PRAKTIKUM TEKNIK DIGITAL | 48
PRAKTIKUM NAMA: …………………………
TEKNIK DIGITAL NIM: …………………………

Gambar 3.3. Rangkaian register geser SISO

D. Paralel Input Serial Output (PISO)

Register geser ini hanya mengubah format data paralel menjadi serial tanpa
mengubah nilai dari data tersebut.

Gambar 3.4. Rangkaian register geser PISO 4 bit


Ada dua mode dari rangkaian di atas, yakni mode tulis dan mode geser.
a) Mode Tulis (parallel input)

INSTITUT TEKNOLOGI - PLN


SMART ELECTRONIC SYSTEM LABORATORY PRAKTIKUM TEKNIK DIGITAL | 49
PRAKTIKUM NAMA: …………………………
TEKNIK DIGITAL NIM: …………………………

Mode tulis adalah saat “tulis/geser” berlogika 0. Pada keadaan ini data
dimasukkan secara serempak karena gerbang NAND 2,4 dan 6 aktif. Data
melewati bit 3, bit 2, bit 1, dan bit 0 menuju flip-flop masing-masing.
b) Mode Geser (serial output)

Mode geser adalah saat “tulis/geser” berlogika 1, gerbang 2,4 dan 6 menjadi
tidak aktif. Tapi gerbang 1,3 dan 5 menjadi aktif. Sehingga terjadi pergeseran
data dari kiri ke kanan bit per bit pada setiap clock.

Tabel 3.1. Operasi transfer data 1001 secara PISO

E. Shift Register Universal

Shift Register Universal adalah register yang dapat menyimpan data dan /
menggeser data ke arah kanan dan kiri bersama dengan kemampuan beban paralel
dikenal sebagai register geser universal. Ini dapat digunakan untuk melakukan operasi
input / output dalam mode serial dan paralel. Register geser searah dan register geser
dua arah digabungkan bersama untuk mendapatkan desain register geser universal. Ada
berbagai macam register 4-bit yang tersedia dalam bentuk IC 74291, IC 74395, dan
masih banyak lagi. Namun, IC yang kita gunakan pada paralel register kali ini adalah IC
74194.

INSTITUT TEKNOLOGI - PLN


SMART ELECTRONIC SYSTEM LABORATORY PRAKTIKUM TEKNIK DIGITAL | 50
PRAKTIKUM NAMA: …………………………
TEKNIK DIGITAL NIM: …………………………

Gambar 3.5. IC dari Shift Register Universal 74194

Tabel 3.2. Mode operasi Shift Register Universal


S0 S1 Mode of Operation
0 0 Locked state (No change)
0 1 Shift-Left
1 0 Shift-Right
1 1 Parallel Loading

IV. Tugas Rumah


1. Jelaskan jenis-jenis dari register!
2. Sebutkan dan jelaskan apa saja yang digunakan untuk merangkai sebuah register
geser!
3. Bagaimana cara kerja dari register geser?
4. Sebutkan dan jelaskan macam-macam dari register geser!
5. Gambarkan aliran data dari masing-masing register!

INSTITUT TEKNOLOGI - PLN


SMART ELECTRONIC SYSTEM LABORATORY PRAKTIKUM TEKNIK DIGITAL | 51
PRAKTIKUM NAMA: …………………………
TEKNIK DIGITAL NIM: …………………………

4.1 Praktikum Serial Input- Paralel Output (SIPO)

I. Tujuan
Memahami pergeseran data dengan menggunakan shift register jenis Serial Input- Paralel
Output (SIPO)

II. Alat & Bahan

1. PC (Personal Computer)
2. Aplikasi Proteus

III. Langkah Percobaan

Langkah-langkah yang harus dilakukan untuk melakukan praktikum ini adalah :


1. Cari komponen IC 74164, Logicprobe (Big) dan Logicstate.
2. Setelah semua komponen lengkap, lalu susun rangkaian sesuai gambar di atas.
3. Hubungkan pin A, pin B, pin CLK dan pin MR dengan empat Logicstate.
4. Hubungkan Q0, Q1, Q2, Q3, Q4, Q5, Q6 dan Q7 dengan delapan Logicprobe (Big).
5. Jika rangkaian sudah selesai, kemudian simulalasikan rangkaian dengan menekan
tombol play di bagian bawah tools (jendela sebelah kiri).
6. Semua indikator menggunakan LED. Jika LED menyala berarti logika ‘1’ dan bila
padam berarti logika ‘0’.

INSTITUT TEKNOLOGI - PLN


SMART ELECTRONIC SYSTEM LABORATORY PRAKTIKUM TEKNIK DIGITAL | 52
PRAKTIKUM NAMA: …………………………
TEKNIK DIGITAL NIM: …………………………

IV. Data Pengamatan

ENABLE RST DATA CLK Q0 Q1 Q2 Q3 Q4 Q5 Q6 Q7


0 X X X
X 1 X X
1 1 0 ON
1 1 1 ON
1 1 0 ON
1 1 1 ON
1 1 0 ON
1 1 1 ON
1 1 0 ON
1 1 1 ON

CLK : ON berarti tekan tombol push button CLOCK kemudian lepas

INSTITUT TEKNOLOGI - PLN


SMART ELECTRONIC SYSTEM LABORATORY PRAKTIKUM TEKNIK DIGITAL | 53
PRAKTIKUM NAMA: …………………………
TEKNIK DIGITAL NIM: …………………………

4.2 Praktikum Paralel Input Paralel Output (PIPO)

I. Tujuan
Memahami pergeseran data dengan menggunakan shift register jenis Paralel Input Paralel
Output (PIPO)

II. Alat & Bahan

1. PC (Personal Computer)
2. Aplikasi Proteus

III. Langkah Percobaan

Langkah-langkah yang harus dilakukan untuk melakukan praktikum ini adalah :


1. Carilah komponen IC 74194, Logicprobe (Big), dan Logicstate.

2. Hubungkan D0, D1, D2, dan D3 dengan empat logicstate.

3. Hubungkan Q0, Q1, Q2, Q3 dengan empat logicprobe. Lakukan ini pada kedua IC.

4. Hubungkan pin CLOCK dari masing-masing IC dengan CLOCK dengan Logicstate.

5. Hubungkan pin -MR dari masing-masing IC dengan Logicstate.

6. Kemudian simulalasikan rangkaian dengan menekan tombol play di bagian bawah


tools.

7. Input data dari tabel pengamatan dan catat hasil outputan di tabel pengamatan.

INSTITUT TEKNOLOGI - PLN


SMART ELECTRONIC SYSTEM LABORATORY PRAKTIKUM TEKNIK DIGITAL | 54
PRAKTIKUM NAMA: …………………………
TEKNIK DIGITAL NIM: …………………………

IV. Data Pengamatan


MASUKAN
D0 D1 D2 D3 -MR (Master Reset) CLK
X X X X 0 X
0 0 0 0 1 ON
1 1 1 1 1 ON
0 1 0 1 1 ON
1 0 1 0 1 ON
0 0 0 0 1 ON

KELUARAN
Q0 Q1 Q2 Q3 Q’0 Q’1 Q’2 Q’3

CLK ON berarti tombol push button TRIGGER di blok Monostable MV (Clock) ditekan
kemudian di lepas.
Pada baris ke-2 dari table di atas, bagaimanakah kondisi dari D0 – D3 dan D0’ – D3’ dan
seterusnya sampai baris ke – 6

V. Tugas Akhir
1. Buat rangkaian shift register 8 bit serial dengan menggunakan IC TTL
2. Buat rangkaian shift register 4 bit paralel dengan menggunakan IC TTL

INSTITUT TEKNOLOGI - PLN


SMART ELECTRONIC SYSTEM LABORATORY PRAKTIKUM TEKNIK DIGITAL | 55
PRAKTIKUM NAMA: …………………………
TEKNIK DIGITAL NIM: …………………………

MODUL V
DECODER
I. Tujuan

Memahami Proses Penampilan Bilangan Desimal pada Sevent Segment dengan Decoder
Common Anoda dan Common Katoda

II. Alat & Bahan


1. PC (Personal Computer)
2. Aplikasi Proteus

III. Toeri Modul


A. BCD TO 7 SEGMENT
BCD (Binary Coded Decimal)
Binary Code Decimal adalah system sandi yang umum digunakan untuk
menyatakan angka decimal dalam bentuk digital. BCD juga merupakan system
pengkodean bilangan desimal yang memiliki metode mirip dengan bilangan binerbiasa,
hanyasaja di dalam proses konversi setiap symbol dari bilangan decimal dikonversikan
menjadi satu per satu, bukan dengan keseluruhan seperti konversi bilangan decimal
kedalam biner biasa.

Seven Segment (7-Segment)


Seven Segment adalah suatu segmen-segmen yang digunakan menampilkan angka.
Seven segment merupakan display visual yang umum digunakan dalam dunia digital.
Seven segment sering dijumpai pada jam digital, penujuk antrian, diplay angka digital
dan termometer digital. Penggunaan secara umum adalah untuk menampilkan informasi
secara visual mengenai data-data yang sedang diolah oleh suatu rangkaian digital.
Seven segmen ini tersusun atas 7 batang LED yang disusun membentuk angka 8
yang penyusunnya menggunakan diberikan lebel dari ‘a’ sampai ‘g’ dan satu lagi untuk
dot point (DP). Setiap segmen ini terdiri dari 1 atau 2 Light Emitting Diode ( LED ).
salahsatu terminal LED dihubungkan menjadi satu sebagai kaki common.

INSTITUT TEKNOLOGI - PLN


SMART ELECTRONIC SYSTEM LABORATORY PRAKTIKUM TEKNIK DIGITAL | 56
PRAKTIKUM NAMA: …………………………
TEKNIK DIGITAL NIM: …………………………

Jenis-jenis Seven Segment :


1. Common Anoda
Common Anoda adalah penggabungan kaki-kaki anoda dari
komponen- komponen. Semua anoda dari LED dalam seven segmen
disatukan secara parallel dan semua itu dihubungkan ke VCC, Dalam hal ini
untuk menyalakannya dibutuhkan saklar yang menghubungkan kaki LED
dengan ground dan kemudian LED dihubungkan melalui tahanan pembatas
arus keluar dari penggerak LED. Karena dihubungkan ke VCC, maka
COMMON ANODA ini berada pada kondisi AKTIF LOW (led akan
menyala/aktif bila diberi logika 0). Untuk dapat menjalankan fungsinya
karena kaki negatif, berate membutuhkan arus postif. Sehingga komponen
dengan common anoda dapat dijalankan dengan tegangan 0-2,5 volt.

2. Common Katoda
Common Katoda adalah penggabungan kaki-kaki katoda dari
komponen-komponen .Merupakan kebalikan dari Common Anoda. Disini
semua katoda disatukan secara parallel dan dihubungkan ke GROUND.
Karena seluruh katoda dihubungkan ke GROUND, maka COMMON
KATODA ini berada pada kondisi AKTIF HIGH (led akan menyala/aktif
bila diberi logika 1). Dalam hal ini menyalakannya dibutuhkan saklar yang
menghubungkan kaki LED dengan VCC atau seumber tegangan. Untuk
dapat menjalankan fungsinya karena kaki-kai yang terhubung positif,berarti
membutuhkan arus negative. Sehingga komponen dengan common katoda
dapat dijalankan dengan tegangan 3-5 volt

INSTITUT TEKNOLOGI - PLN


SMART ELECTRONIC SYSTEM LABORATORY PRAKTIKUM TEKNIK DIGITAL | 57
PRAKTIKUM NAMA: …………………………
TEKNIK DIGITAL NIM: …………………………

B. Decoder 2 to 4
Decoder adalah alat yang dapat digunakan untuk mengembalikan proses
encoding sehingga kita dapat melihat atau menerima informasi aslinya. Decoder
juga dapat diartikan sebagai rangkaian logika yang dapat di artikan sebagai
rangkaian logika yang menerima input-input biner dan mengaktifkan salah satu
outputnya sesuai dengan urutan biner tersebut

IV. Tugas Rumah


1. Apa yang dimaksud dari Binary Coded Decimal (BCD)?\
2. Jelaskan apa yang dimaksud dengan LED yang Ter “Common Anode”
dan LED yang Ter “Common Cathode”?
3. Konversikan beberapa bilangan berikut menjadi bilangan dengan sistem
bilangan lainnya beserta langkahnya!
A. (226)10 = (…)2 = (…)8 = (…)16
B. (7E)16 = (…)8 = (…)2 = (…)10
C. (643)8 = (…)2 = (…)10 = (…)16
D. (10110010)2 = (…)16 = (…)10 = (…)8

4. Apa yang dimaksud dengan Decoding?


5. Apa yang dimaksud dengan Decoder dan cara kerjanya!

INSTITUT TEKNOLOGI - PLN


SMART ELECTRONIC SYSTEM LABORATORY PRAKTIKUM TEKNIK DIGITAL | 58
PRAKTIKUM NAMA: …………………………
TEKNIK DIGITAL NIM: …………………………

5.1 BCD to 7 Segment


I. Tujuan
Memahami Proses Penampilan Bilangan Desimal pada Sevent Segment

II. Alat & Bahan


1. PC (Personal Computer)
2. Aplikasi Proteus

III. Langkah Percobaan

Gambar 4.1. BCD to 7 segment

1. Buka aplikasi proteus.


2. Ambil IC 7447 dengan meng klik ikon “P” pada aplikasi proteus lalu ketik
“7447” pada kolom keyword.
3. Ambil 7 segment dengan meng klik ikon “P” pada aplikasi proteus lalu ketik
“7seg” dan pilih 7 segment common anode.
4. Ambil logic togel dengan meng kill ikon “P” pada aplikasi proteus lalu pilih
“dembugging tools” pada bar category dan klik. Pilih “logic togel” sebanyak
4 buah.
5. Hubungkan kaki 3 ke kaki 4 lalu klik kanan dan pilih “Edit Wire Style”. Atur
hingga seperti pada gambar di bawah.

Jika sudah sambungkan kaki 5 ke kabel 3 dan 4 yang sufdah terhubung tadi.
6. Ambil komponen power dengan mengklik ikon “terminals” lalu pilih
“power”.
INSTITUT TEKNOLOGI - PLN
SMART ELECTRONIC SYSTEM LABORATORY PRAKTIKUM TEKNIK DIGITAL | 59
PRAKTIKUM NAMA: …………………………
TEKNIK DIGITAL NIM: …………………………

7. Hubungkan semua komponen seperti pada gambar.


8. Klik run untuk menjalankan rangkaian.

IV. Data pengamatan

MASUKAN

D C B A No
0 0 0 0 1
0 0 0 1 2
0 0 1 0 3
0 0 1 1 4
0 1 0 0 5
0 1 0 1 6
0 1 1 0 7
0 1 1 1 8
1 0 0 0 9
1 0 0 1 10
1 0 1 0 11
1 0 1 1 12
1 1 0 0 13
1 1 0 1 14
1 1 1 0 15
1 1 1 1 16

INSTITUT TEKNOLOGI - PLN


SMART ELECTRONIC SYSTEM LABORATORY PRAKTIKUM TEKNIK DIGITAL | 60
PRAKTIKUM NAMA: …………………………
TEKNIK DIGITAL NIM: …………………………

KELUARAN

1 2 3 4

5 6 7 8

9 10 11 12

13 14 15 16

INSTITUT TEKNOLOGI - PLN


SMART ELECTRONIC SYSTEM LABORATORY PRAKTIKUM TEKNIK DIGITAL | 61
PRAKTIKUM NAMA: …………………………
TEKNIK DIGITAL NIM: …………………………

5.2 Praktikum Decoder 2 To 4


I. Tujuan
Memahami proses Decoding pada Decoder 2 To 4
II. Alat & Bahan
1. PC (Personal Computer)
2. Aplikasi Proteus

III. Langkah Percobaan

Gambar 4.2 decoder 2 ke 4

1. Buka Proteus
2. Pilih “Gerbang NOT” dari component mode sebanyak 2 gerbang NOT
3. Kemudian untuk memasukkan inputnya,tambahkan komponen dari ikon P.
Dengan menuliskan keywords “logic toggle”
4. Kemudian pilih komponen dengan keywords “active and gate” dan klik OK.
Buatlah active and gate sebanyak 4 buah. Jangan lupa tambahkan “logic probe”
untuk mengetahui outputnya
5. Pilih menu “2D Graphics text mode” untuk menuliskan nama input dan output.
Untuk input A dan B sedangkan output Y0,Y1,Y2 dan Y3
6. Hubungkan input A dan B ke gerbang AND (Y0)
7. Lalu hubungkan input A ke gerbang AND (Y1) dan input B ke gerbang AND (Y1)
8. Lakukan hal yang sama seperti langkah percobaan ke 7 ke gerbang AND (Y2).
Dengan tabel kebenaran 1 0
9. Hubungkan input A ke gerbang AND (Y3) dan input B ke gerbang AND (Y3).
Dengan tabel kebenaran 1 1
10. Setelah itu klik RUN

11. Kemudian isilah tabel pengamatan, dengan mengatur saklar input A dan B.

INSTITUT TEKNOLOGI - PLN


SMART ELECTRONIC SYSTEM LABORATORY PRAKTIKUM TEKNIK DIGITAL | 62
PRAKTIKUM NAMA: …………………………
TEKNIK DIGITAL NIM: …………………………

IV. Data Pengamatan

B A -E Y3 Y2 Y1 Y0
X X 1
0 0 0
0 1 0
1 0 0
1 1 0

V. Tugas Akhir
1. Buat Rangkaian Decoder common anoda 2 digit dengan IC TTL
2. Buat Rangkaian Decoder common katoda 4 digit dengan IC TTL

INSTITUT TEKNOLOGI - PLN


SMART ELECTRONIC SYSTEM LABORATORY PRAKTIKUM TEKNIK DIGITAL | 63
PRAKTIKUM NAMA: …………………………
TEKNIK DIGITAL NIM: …………………………

MODUL VI
MULTIPLEKSER & DEMULTIPLEKSER

I. Tujuan
Memahami proses multiplexing (Mux) pada sebuah multiplekser dan proses demultiplexing,
serta mengetahui pengertian dari encoding dan outputan dari encoding.

II. Alat & Bahan


1. PC (Personal Computer)
2. Aplikasi Proteus

III. Teori Modul


A. Multiplekser
Multiplexer (MUX) atau selector data adalah suatu rangkaian logika yang dapat
menerima satu hingga banyak input data, dan untuk suatu saat tertentu hanya
mnegizinkan satu data input masuk dan melewati output, yang diatur oleh input selektor.
Oleh karena itu, MUX memiliki fungsi sebagai sebuah pengontrol digital. MUX
memiliki jumlah kanal input lebih dari 1, minimal 2 atau kelipatan 2, dan hanya memiliki
1 kanal output. Suatu multiplekser dengan 2n saluran masukan memerlukan n sinyal
kontrol.
Berikut gerbang logika dari multiplekser 2x1

Contoh Multiplekser yaitu IC 74LS151. IC 74LS151 merupakan data


selektor/multiplekser yang memilih satu dari 8 saluran input dengan hanya menggunakan
3 sinyal kontrol.
• Komponen ini mempunyai 8 buah masukan, yaitu I0 – I7 dan 2 luaran
yaitu Z dan Z’.
• Pemilihan data akan dilakukan oleh kaki A,B, dan C.
• Untuk mengaktifkan fungsinya sebagai sebuah multiplekser, komponen ini harus
mempunyai 1 masukan enable, yaitu masukan berbentuk aktif-LOW.
• Sedangkan kaki 8 dihubungkan ke GND dan kaki 16 dihubungkan ke VCC +5V.

INSTITUT TEKNOLOGI - PLN


SMART ELECTRONIC SYSTEM LABORATORY PRAKTIKUM TEKNIK DIGITAL | 64
PRAKTIKUM NAMA: …………………………
TEKNIK DIGITAL NIM: …………………………

Susunan kaki pada IC 74LS151 dapat dilihat pada gambar di bawah :

Fungsi Multiplekser :
• Seleksi data.
• Data routing atau perjalanan data.
• Multiplekser biasanya menentukan perjalanan data dari satu sumber data diantara
beberapa sumber ke satu tujuan.
• Operation sequencing atau pengurutan operasi
• Kebanyakan system digital memproses data biner parallel atau seluruh bit secara
bersamaan karena Teknik ini akan bekerja lebih cepat. Namun apabila data ini
harus disalurkan ke beberapa tempat yang relatif jauh, susunan parallel ini menjadi
tidak efektif karena memerlukan lebih banyak saluran transmisi. Maka data biner
berbentuk parallel sering diubah menjadi bentuk data seri sebelum disalurkan ke
tujuan yang jauh tersebut.
• Menghasilkan sebuah bentuk gelombang
• Menghasilkan sebuah fungsi logika

B. Demutliplekser
Demultiplxer atau dapat disingkat Demux merupakan suatu rangkaian elektronika
yang mempunyai output dua atau lebih dan hanya mempunyai satu input, didalam
demultiplexer terdapat suatu pemilih keluaran/outputnya. Jadi demultiplexer merupakan
rangkaian yang dapat dipilih outputnya untuk meneruskan data dari inputnya.
Berkebalikan dari multiplexer yang dapat dipilih intputnya demultiplexer ini yang dipilih
adalah outputnya. Untuk lebih mudahnya dapat dilihat gambar di bawah ini :

Dalam gambar tersebut data dimasukan dari inputnya kemudian Selektor akan memilih
salah satu output dari Y0 dan Y1 untuk meneruskan datanya. Dan apabila diaplikasikan
kedalam gerbang logika, Demultiplexer dapat diimplementasikan sebagai berikut :

INSTITUT TEKNOLOGI - PLN


SMART ELECTRONIC SYSTEM LABORATORY PRAKTIKUM TEKNIK DIGITAL | 65
PRAKTIKUM NAMA: …………………………
TEKNIK DIGITAL NIM: …………………………

Suatu demultiplekser dengan n bit selektor akan memiliki 2n Output

IC 74LS138 merupakan demultiplekser yang digunakan untuk menyalurkan satu data ke


8 jalur luaran.

 Komponen ini mempunyai 3 masukan data yang bisa dipilih salah satu, yaitu (aktif-
LOW) serta E3 (aktif-HIGH).
 Untuk memilih luaran mana yang akan mengeluarkan data, diperlukan 3 buah
masukan pemilih, yaitu A, B dan C.
 Selain itu terdapat 8 luaran aktif-LOW, yaitu .
 Sedangkan kaki 8 dihubungkan ke GND dan kaki 16 dihubungkan ke +5V.
Susunan kaki IC 74LS138 dapat dilihat dalam gambar di bawah.

C. Encoder
Biasanya encoder digunakan untuk mengubah data keypad menjadi data biner.
Sebenarnya encoder berarti mengubah suatu besaran yang jumlahnya banyak menjadi
besaran lain yang jumlahnya sedikit. Ada beberapa jenis encoder yang terdapat di
pasaran seperti 74xx147 : 10 to 4 dan 74xx148 : 8 to 3.

Encoder adalah rangkaian yang memiliki fungsi berkebalikan dengan dekoder.


Encoder berfungsi sebagai rangakain untuk mengkodekan data input mejadi data
bilangan dengan format tertentu. Encoder dalam rangkaian digital adalah rangkaian
kombinasi gerbang digital yang memiliki input banyak dalam bentuk line input dan
memiliki output sedikit dalam format bilangan biner. Encoder akan mengkodekan setiap
jalur input yang aktif menjadi kode bilangan biner. Dalam teori digital banyak ditemukan
istilah encoder seperti “Desimal to BCD Encoder” yang berarti rangkaian digital yang
berfungsi untuk mengkodekan line input dengan jumlah line input desimal (0-7)

INSTITUT TEKNOLOGI - PLN


SMART ELECTRONIC SYSTEM LABORATORY PRAKTIKUM TEKNIK DIGITAL | 66
PRAKTIKUM NAMA: …………………………
TEKNIK DIGITAL NIM: …………………………
menjadi kode bilangan

INSTITUT TEKNOLOGI - PLN


SMART ELECTRONIC SYSTEM LABORATORY PRAKTIKUM TEKNIK DIGITAL | 67
PRAKTIKUM NAMA: …………………………
TEKNIK DIGITAL NIM: …………………………

biner 3 bit BCD (Binary Coded Decimal). Atau “8 line to 3 line encoder” yang berarti
rangkaian encoder dengan input 8 line dan output 3 line (3 bit BCD).

Ilustrasi Digital Encoder 8 to 3

Encoder dalam contoh ini adalah encoder desimal ke BCD (Binary Coded
Decimal) yaitu rangkaian encoder dengan input 8 line dan output 3 bit data BCD.
Rangkaian implementasi encoder Desimal (8Line) ke BCD sesuai tabel kebenaran

Rangkaian encoder di atas hanya akan bekerja dengan baik apabila hanya 1 jalur
input saja yang mendapat input, hal ini karena rangkaian encoder di atas bukan didesain
sebagai priority encoder.

INSTITUT TEKNOLOGI - PLN


SMART ELECTRONIC SYSTEM LABORATORY PRAKTIKUM TEKNIK DIGITAL | 68
PRAKTIKUM NAMA: …………………………
TEKNIK DIGITAL NIM: …………………………

IV. Tugas Rumah


1. Jelaskan proses Multiplexing (Mux) yang terjadi pada modul ini dan Jelaskan
perbedaan Multiplexing dan Demultiplexing !
2. Apa yang dimaksud dengan Multiplekser, Selector dan Seleksi ?
3. Sebutkan fungsi – fungsi multiplekser !
4. Sebutkan perbedaan Encoder dan Decoder!
5. Konversikan beberapa bilangan berikut menjadi bilangan dengan sistem bilangan
lainnya beserta langkahnya !
a) (150)10 = (…..)2 = (…..)8 = (…..)16
b) (4B)16 = (…..)8 = (…..)2 = (…..)10
c) (420)8 = (…..)2 = (…..)10 = (…..)16
d) (110000)2 = (…..)16 = (…..)10 = (…..)8

INSTITUT TEKNOLOGI - PLN


SMART ELECTRONIC SYSTEM LABORATORY PRAKTIKUM TEKNIK DIGITAL | 69
PRAKTIKUM NAMA: …………………………
TEKNIK DIGITAL NIM: …………………………

6.1 Praktikum Multiplekser


I. Tujuan
Memahami proses multiplexing (Mux) pada sebuah multiplekser.

II. Alat & Bahan


1. PC (Personal Computer)
2. Aplikasi Proteus

III. Langkah Percobaan

Gambar 5.1. Multiplekser

Perhatikan gambar 2 .1. di atas. Input multiplekser terdiri dari 2 kelompok


yaitu A dan B serta output Y. Input A terdiri dari 1A, 2A, 3A, dan 4A sedangkan
input B terdiri dari 1B, 2B, 3B, 4B. Untuk output Y terdiri dari 1Y, 2Y, 3Y dan 4Y.
Indikator untuk input A dan B menggunakan LED serta output Y menggunakan
LED juga. Jika LED menyala berarti logika ‘1’ dan bila padam berarti logika ‘0’.
Langkah-langkah yang harus dilakukan untuk melakukan praktikum ini adalah :
1. Buka Aplikasi Proteus pilih New Project atau klik “Schematic Capture” yang
memiliki ikon seperti di bawah ini

INSTITUT TEKNOLOGI - PLN


SMART ELECTRONIC SYSTEM LABORATORY PRAKTIKUM TEKNIK DIGITAL | 70
PRAKTIKUM NAMA: …………………………
TEKNIK DIGITAL NIM: …………………………

2. Kemudian klik Pick Device/P seperti pada gambar di bawah untuk mencari
komponen-komponen yang diperlukan. (Cara cepat bisa dilakukan dengan
menekan “P” pada keyboard)

3. Kemudian ketik komponen-komponen yang disebutkan di bawah ini pada


“Keywords” kemudian double klik komponen tersebut.
Komponen-komponen yang diperlukan:
 Logicstate
 Logicprobe
 74LS157
4. Rangkailah komponen-komponen tersebut seperti gambar di bawah.

5. Untuk menjalankan rangkaian tersebut klik tombol “run” pada bagian bawah kiri
aplikasi.
6. Selanjutnya isi data pengamatan di bawah ini

IV. Data Pengamatan


1A 2A 3A 4A 1B 2B 3B 4B Ā/B E 1Y 2Y 3Y 4Y
1 0 1 0 0 1 0 1 0 1
1 0 1 0 0 1 0 1 1 1
0 1 0 1 1 0 1 0 0 0
0 1 0 1 1 0 1 0 1 0
0 0 0 0 1 1 1 1 0 0
0 0 0 0 1 1 1 1 1 0

INSTITUT TEKNOLOGI - PLN


SMART ELECTRONIC SYSTEM LABORATORY PRAKTIKUM TEKNIK DIGITAL | 71
PRAKTIKUM NAMA: …………………………
TEKNIK DIGITAL NIM: …………………………

6.2 Praktikum Multiplekser


I. Tujuan
Memahami proses Demultiplexing (Demux) pada sebuah demultiplekser.

II. Alat & Bahan


1. PC (Personal Computer)
2. Aplikasi Proteus

III. Langkah Percobaan


Langkah-langkah yang harus dilakukan untuk melakukan praktikum ini adalah :
1. Buka Aplikasi Proteus pilih New Project atau klik “Schematic Capture” yang
memiliki ikon seperti di bawah ini

2. Kemudian klik Pick Device/P seperti pada gambar di bawah untuk mencari
komponen-komponen yang diperlukan. (Cara cepat bisa dilakukan dengan
menekan “P” pada keyboard)

3. Kemudian ketik komponen-komponen yang disebutkan di bawah ini pada


“Keywords” kemudian double klik komponen tersebut.
Komponen-komponen yang diperlukan:
 Logicstate
 Logicprobe
 And_3
4. Rangkailah komponen-komponen tersebut seperti gambar di bawah.

INSTITUT TEKNOLOGI - PLN


SMART ELECTRONIC SYSTEM LABORATORY PRAKTIKUM TEKNIK DIGITAL | 72
PRAKTIKUM NAMA: …………………………
TEKNIK DIGITAL NIM: …………………………

5. Untuk menjalankan rangkaian tersebut klik tombol “run” pada bagian bawah kiri
aplikasi.
6. Selanjutnya isi data pengamatan di bawah ini.

INSTITUT TEKNOLOGI - PLN


SMART ELECTRONIC SYSTEM LABORATORY PRAKTIKUM TEKNIK DIGITAL | 73
PRAKTIKUM NAMA: …………………………
TEKNIK DIGITAL NIM: …………………………

IV. Data pengamatan

A B E Y0 Y1 Y2 Y3
0 0 1
0 0 0
1 0 0
0 1 0
1 1 0

INSTITUT TEKNOLOGI - PLN


SMART ELECTRONIC SYSTEM LABORATORY PRAKTIKUM TEKNIK DIGITAL | 74
PRAKTIKUM NAMA: …………………………
TEKNIK DIGITAL NIM: …………………………

6.3 Praktikum Encoder 8 to 3


I. Tujuan
1. Memahami pengertian dari encoding dan mengetahui outputan dari encoding.
2. Mengetahui proses dari encoding.

II. Alat dan Bahan


1. PC (Personal Computer)
2. Aplikasi Proteus

III. Langkah Percobaan

Gambar 5.2. Rangkaian Logika Encoder 8 to 3

Perhatikan gambar 9.1. di atas. Input Encoder 8 To 3 terdiri dari 8 tombol push
button yaitu 0,1,2,3,4,5,6,7 dan enable E1. Semua indikator untuk input menggunakan
LED dan output menggunakan LED juga. Jika LED menyala berarti logika ‘1’ dan bila
padam berarti logika ‘0’.
Langkah-langkah yang harus dilakukan untuk melakukan praktikum ini adalah :
1. Buka Aplikasi Proteus pilih New Project atau klik “Schematic Capture” yang
memiliki ikon seperti di bawah ini

2. Kemudian klik “Pick Device” atau ikon “P” seperti pada gambar di bawah
untuk mencari komponen-komponen yang diperlukan.

INSTITUT TEKNOLOGI - PLN


SMART ELECTRONIC SYSTEM LABORATORY PRAKTIKUM TEKNIK DIGITAL | 75
PRAKTIKUM NAMA: …………………………
TEKNIK DIGITAL NIM: …………………………

3. Kemudian ketik komponen-komponen yang disebutkan di bawah ini pada


“Keywords” kemudian double klik komponen tersebut.
Komponen-komponen yang diperlukan:
 Logicstate
 Logicprobe
 74LS148
4. Rangkailah komponen-komponen tersebut seperti gambar di bawah.

5. Untuk menjalankan rangkaian tersebut klik tombol “run” pada bagian bawah
kiri aplikasi.
6. Selanjutnya isi data pengamatan di bawah ini

IV. Data Pengamatan


INPUT OUTPUT

EI 0 1 2 3 4 5 6 7 C B A GS EO
1 0 0 0 0 0 0 0 0
0 1 1 1 1 1 1 1 1
0 1 1 1 1 1 1 1 0
0 1 1 1 1 1 1 0 1
0 1 1 1 1 1 0 1 1
0 1 1 1 1 0 1 1 1
0 1 1 1 0 1 1 1 1
0 1 1 0 1 1 1 1 1
0 1 0 1 1 1 1 1 1
0 0 1 1 1 1 1 1 1

INSTITUT TEKNOLOGI - PLN


SMART ELECTRONIC SYSTEM LABORATORY PRAKTIKUM TEKNIK DIGITAL | 76
PRAKTIKUM NAMA: …………………………
TEKNIK DIGITAL NIM: …………………………

V. Tugas Akhir
1. Buat rangkaian multiplex 4 bit dengan IC TTL
2. Buat rangkaian demultiplex 16 bit dengan IC TTL

INSTITUT TEKNOLOGI - PLN


SMART ELECTRONIC SYSTEM LABORATORY PRAKTIKUM TEKNIK DIGITAL | 77
PRAKTIKUM NAMA: …………………………
TEKNIK DIGITAL NIM: …………………………

MODUL VII
MULTIVIBRATOR DENGAN MENGGUNAKAN IC
555
I. Tujuan
 Mahasiswa mampu memahami dan mengerti cara kerja Multivibrator
 Mahasiswa dapat mengaplikasikan astable, monostable, dan bistable multivibrator

II. Alat & Bahan

1. PC (Personal Computer)
2. Aplikasi Proteus

III. Teori Modul


Multivibrator adalah rangkaian elektronik terpadu yang digunakan untuk
menerapkan variasi dari sistem dua keadaan (two state system) yang dapat menghasilkan
suatu sinyal kontinu, yang dapat digunakan sebagai pewaktu (timer) dari rangkaian-
rangkaian sekuensial.Multivibrator beroperasi sebagai osilator, yaitu sebagai sebuah
rangkaian pembangkit sinyal, di mana sinyal yang dihasilkan pada keluaran akan
berbentuk gelombang persegi (square wave).
Multivibrator dalam pengoperasiannya memiliki dua keadaan utama, yaitu
keadaan stabil dan keadaan tak stabil
a) Keadaan stabil adalah keadaan di mana taraf amplitudo sinyal keluaran adalah
tetap/stagnan pada suatu nilai tertentu.
b) Keadaan tak stabil adalah keadaan di mana taraf ampiltudo sinyal selalu berubah-
ubah mengikuti denyut tegangan pada komponen aktif.Keadaan tak stabil
dipengaruhi oleh waktu laju pengisian/pengosongan kapasitor yang besarnya
ditentukan dari kapasitas kapasitor.

Jenis-jenis Multivibrator:
1. Multivibrator Astabil (astable multivibrator)
Multivibrator astabil adalah multivibrator yang tidak mempunyai state stabil pada
dua bagian yang membangunnya. Kedua bagiannya senantiasa berganti-ganti
keadaan terus-menerus sehingga outputnya pun berganti-ganti antara high dan low.
Karena itu multivibrator tipe ini diterapkan untuk menghasilkan gelombang blok,
atau sebagai osilator gelombang blok.

INSTITUT TEKNOLOGI - PLN


SMART ELECTRONIC SYSTEM LABORATORY PRAKTIKUM TEKNIK DIGITAL | 78
PRAKTIKUM NAMA: …………………………
TEKNIK DIGITAL NIM: …………………………

2. Multivibrator Monostabil (monostable multivibrator)


Multivibrator atau Multivibrator Monostabil adalah jenis multivibrator yang
memiliki keadaan stabil tunggal. Seperti namanya, MONO yang berart SATU ini
menunjukkan satu keadaan stabil dan juga keadaan kuasi-stabil. Multivibrator
Monostabil ini juga dikenal sebagai one-shot multivibrator (Multivibrator satu
tembakan). Multivibrator Monostabil hanya memiliki SATU keadaan stabil dan
menghasilkan pulsa keluaran tunggal ketika dipicu secara eksternal. Multivibrator
Monostabil hanya kembali ke keadaan semula dan keadaan stabil setelah jangka
waktu yang ditentukan oleh waktu konstanta dari rangkaian gabungan RC (Coupled
RC).

3. Multivibrator Bistabil (bistable multivibrator)


Multivibrator bistabil adalah multivibrator yang mempunyai state stabil pada kedua
bagian yang membangunnya. Satu bagian dapat berkondisi high terus, atau low terus,
begitu pula bagian lainnya. Untuk merubah kondisi dari low ke high pada satu bagian
dilakukan dengan memberikan pulsa low di jalan masukannya. Multivibrator ini
Memiliki dua keadaan ‘set’ dan ‘reset’ yang menyebabkan pada keadaan awal
komponen-komponen aktif menghantar.

IC TIMER 555
IC Timer atau IC Pewaktu adalah jenis IC yang digunakan untuk berbagai
Rangkaian Elektronika yang memerlukan fungsi Pewaktu dan multivibrator didalamnya.
IC timer 555 biasanya disebut "pengatur waktu 555" tetapi itu hanya salah satu
kegunaannya. Chip dapat digunakan baik sebagai timer dan sebagai osilator. Osilator
adalah sesuatu yang menghasilkan dan gelombang listrik konstan, seperti gelombang
sinus atau persegi. Timer akan berdenyut pada interval tertentu tergantung pada
komponen yang terpasang.

INSTITUT TEKNOLOGI - PLN


SMART ELECTRONIC SYSTEM LABORATORY PRAKTIKUM TEKNIK DIGITAL | 79
PRAKTIKUM NAMA: …………………………
TEKNIK DIGITAL NIM: …………………………

Fungsi dari pin IC 555 diatas adalah


 Pin 1 : Ground, sebagai terminal negative
 Pin 2 : Trigger, sebagai memicu agar pewaktu bekerja
 Pin 3 : Output, sebagai keluaran pada VCC
 Pin 4 : Reset, sebagai mereset dari IC 555
 Pin 5 : CV, sebagai pembagi tegangan
 Pin 6 : TH, sebagai membuat output menjadi low
 Pin 7 : Discharge, sebagai pelepasan
 Pin 8 : VCC, sebagai sumber tegangan

INSTITUT TEKNOLOGI - PLN


SMART ELECTRONIC SYSTEM LABORATORY PRAKTIKUM TEKNIK DIGITAL | 80
PRAKTIKUM NAMA: …………………………
TEKNIK DIGITAL NIM: …………………………

IV. Tugas rumah


1. Apa yang dimaksud dengan multivibrator?
2. Sebutkan serta jelakasn jenis-jenis multivibrator?
3. Jelaskan prinsip kerja multivibrator?
4. Sebutkan contoh pengaplikasian multivibrator dalam kehidupan sehari-hari?
5. Hitunglah berapa besar resistansi yang diperlukan untuk membuat pewaktu dengan
waktu tunda 3 menit apabila kapasitas kapasitor yang digunakan adalah 470 uF!

INSTITUT TEKNOLOGI - PLN


SMART ELECTRONIC SYSTEM LABORATORY PRAKTIKUM TEKNIK DIGITAL | 81
PRAKTIKUM NAMA: …………………………
TEKNIK DIGITAL NIM: …………………………

V. Langkah Perobaan
Astable Multivibrator

1. PIlih Pick component lalu pick lah IC 555, battery, kapasitor, resistor, dan LED-RED
2. Hubungkan semua komponen-komponen yang lain pada output dari IC 555
3. Resistor yang digunakan R1=10k, R2= 10k, R3=10k
4. Capacitor yang digunakan C1=1nF dan C2=100uF
5. Battery yang digunakan 12V
6. Hubungkan ground pada IC 555
7. Amati outputan nyala atau mati yang terjadi pada LED-RED

INSTITUT TEKNOLOGI - PLN


SMART ELECTRONIC SYSTEM LABORATORY PRAKTIKUM TEKNIK DIGITAL | 82
PRAKTIKUM NAMA: …………………………
TEKNIK DIGITAL NIM: …………………………

Monostable Multivibrator

1. PIlih Pick component lalu pick lah IC 555, battery, kapasitor, resistor, button dan
LED-RED
2. Hubungkan semua komponen-komponen yang lain pada output dari IC 555
3. Resistor yang digunakan R4=10k, R5=100k, dan R6=330 pada ketiga resistor
4. Capacitor yang digunakan C3=1nF dan C4=10uF
5. Battery yang digunakan 12V
6. Amati outputan nyala atau mati yang terjadi pada LED-RED

INSTITUT TEKNOLOGI - PLN


SMART ELECTRONIC SYSTEM LABORATORY PRAKTIKUM TEKNIK DIGITAL | 83
PRAKTIKUM NAMA: …………………………
TEKNIK DIGITAL NIM: …………………………

Bistable Multivibrator

1. PIlih Pick component lalu pick lah IC 555, battery, kapasitor, resistor, button dan
LED-RED
2. Hubungkan semua komponen-komponen yang lain pada output dari IC 555
3. Resistor yang digunakan R7=10k, R8=10k, R9=10k pada ketiga resistor
4. Capacitor yang digunakan C5=1uF
5. Battery yang digunakan 12V
6. Amati outputan nyala atau mati yang terjadi pada LED-RED

INSTITUT TEKNOLOGI - PLN


SMART ELECTRONIC SYSTEM LABORATORY PRAKTIKUM TEKNIK DIGITAL | 84
PRAKTIKUM NAMA: …………………………
TEKNIK DIGITAL NIM: …………………………

VI. Data Pengamatan


Astable Multivibrator

Output
Ketika Run

Monostable Multivibrator
Input (Trigger) Output
Ketika run
Di clock

Bistable Multivibrator
Input (Trigger) Output
Clock 1
Clock 2

INSTITUT TEKNOLOGI - PLN


SMART ELECTRONIC SYSTEM LABORATORY PRAKTIKUM TEKNIK DIGITAL | 85
PRAKTIKUM NAMA: …………………………
TEKNIK DIGITAL NIM: …………………………

VII. Tugas Akhir


1. Buat rangkaian timer yang dapat diatur frekuensi-nya dengan IC TTL
2. Buat rangkaian timer yang dapat diatur waktunya dengan IC TTL
3. Buat rangkaian timer dengan waktu setengah detik, satu detik, tiga detik dengan IC
555

INSTITUT TEKNOLOGI - PLN


SMART ELECTRONIC SYSTEM LABORATORY PRAKTIKUM TEKNIK DIGITAL | 86
PRAKTIKUM NAMA: …………………………
TEKNIK DIGITAL NIM: …………………………

DAFTAR HADIR PRAKTIKUM SMART ELECTRONIC SYSTEM


LABORATORY INSTITUT TEKNOLOGI-PLN

NAMA : Praktikum :
NIM : Jur/Prog.Studi :
Kelompok : Semester :

Tanggal Tanggal
Tanggal Paraf
No. Modul Praktikum Pengumpulan Asisten
Presentasi Asisten
Laporan
1.

2.

3.

4.

5.

6.

7.

8.

9.

10.

Jakarta,.........................2022

Mahasiswa Asisten Lab.

(........................................) (..............................................)

INSTITUT TEKNOLOGI - PLN


SMART ELECTRONIC SYSTEM LABORATORY PRAKTIKUM TEKNIK DIGITAL | 87

Anda mungkin juga menyukai