Anda di halaman 1dari 10

LAPORAN PERCOBAAN 3

BCD TO 7 SEGMENT

Mata Kuliah :
Rangkaian Digital

Dosen Pengampu :
Resty Annisa, S.ST., M.Kom

Kelompok 2 :
1. Intan Naya Mazzura (2213025011)
2. Kadek Novita Sari (2213025017)
3. Mayang Syafitri (2213025013)
4. Shelly Gustika Harahap (2213025007)

PROGRAM STUDI PENDIDIKAN TEKNOLOGI INFORMASI


FAKULTAS KEGURUAN DAN ILMU PENDIDIKAN
UNIVERSITAS LAMPUNG
2023
BAB I
PENDAHULUAN
A. Latar Belakang
Tampilan seven segment digunakan sebagai decoder dari bilangan Binary Coded
Decimal ( BCD ) ke 7 segment decoder. Untuk masukan ada 4 bit dan keluarannya ada
7 bit yang ada pada tampilan 7 segment. Tampilan 7 segment adalah komponen
elekronika yang dapat menampilkan angka dari 0 sampai 9, bisa menggunakan satu 7
segment atau dua 7 segment yang disesuaikan dengan berapa karakter yang akan
ditampilkan. Ada dua jenis tipe dari penampil 7 segment ini, ada yang 7 segment
dengan common anoda dan 7 segment dengan common katoda. Pada common anoda
akan aktif jika mendapat input low atau logika 0 sedangkan pada commono katoda akan
aktif jika mendapat input high atau logika 1.

Gambar 3.1 Skema Rangkaian 7 Segment


Gambar 3.2 Gerbang Logika untuk IC 74LS48

B. Tujuan Praktikum

1. Mengenal bentuk bilangan dalam rangkaian logika.


2. Mengetahui fungsi biner dan fungsi logika.
BAB II
METODE PRAKTIKUM

A. Alat yang Digunakan


• Modul Rangkaian Logika #1.

• IC 74LS48.

B. Langkah Kerja

Gambar 3.3 Rangkaian BCD to 7 Segment

Perhatikan gambar 3.2 di atas! Alat BCD ke 7 Segment terdiri dari 4 input yaitu A, B, C,
dan D, serta output a, b, c, d, e, f. Semua indikator untuk input menggunakan LED dan
output menggunakan 7 Segment. Jika LED menyala, berarti logika '1', dan jika padam,
berarti logika '0'. Berikut adalah langkah-langkah praktikumnya:
1. Siapkan alat dan bahan yang diperlukan.
2. Susun Seven Segment dan IC 74LS48 di atas papan percobaan.
3. Hubungkan pin-pin Seven Segment ke pin-pin IC 74LS48 menggunakan kabel sesuai
dengan skema alat, yaitu:
a. Hubungkan pin 15 IC 74LS48 ke pin f Seven Segment.
b. Hubungkan pin 14 IC 74LS48 ke pin g Seven Segment.
c. Hubungkan pin 13 IC 74LS48 ke pin a Seven Segment.
d. Hubungkan pin 12 IC 74LS48 ke pin b Seven Segment.
e. Hubungkan pin 11 IC 74LS48 ke pin c Seven Segment.
f. Hubungkan pin 10 IC 74LS48 ke pin d Seven Segment.
g. Hubungkan pin 9 IC 74LS48 ke pin e Seven Segment.
4. Hubungkan kaki ground pada kedua sisi Seven Segment.
5. Hubungkan rangkaian ke sumber tegangan:
• Hubungkan pin 16 IC 7448 ke terminal positif baterai.
• Hubungkan pin 8 IC 7448 ke terminal negatif baterai.
6. Tentukan pin 1, 2, 6, dan 7 secara berurutan sebagai input B, C, D, dan A yang sudah
terhubung dengan logictoggle sebagai saklar penyambung dan pemutus alur. Ini
melibatkan kombinasi power +5V dan ground, resistor, LED, dan ground.
7. Tentukan pin 3, 5, dan 4 secara berurutan sebagai input LT, RBI, dan BI/RBO yang
sudah terhubung dengan logictoggle.
8. Masukkan input BCD sesuai dengan data pengamatan yang tertera pada tabel.
9. Amati output yang dihasilkan.
10. Catat hasil percobaan pada tabel data pengamatan.

C. Skema Alat
I. ANALISA HASIL DAN PEMBAHASAN
A. Analisa Data Hasil Praktikum

LT BI RBI D C B A DISPLAY

0 1 1 X X X X

1 0 1 X X X X

1 1 0 X X X X

1 1 1 0 0 0 0

1 1 1 0 0 0 1

1 1 1 0 0 1 0

1 1 1 0 0 1 1

1 1 1 0 1 0 0

1 1 1 0 1 0 1

1 1 1 0 1 1 0

1 1 1 0 1 1 1

1 1 1 1 0 0 0

1 1 1 1 0 0 1

1 1 1 1 0 1 0

1 1 1 1 0 1 1

1 1 1 1 1 0 0

1 1 1 1 1 0 1

1 1 1 1 1 1 0
1 1 1 1 1 1 1

Tabel 3.1 Data Hasil Percobaan Rangkaian BCD to 7 Segment

B. Pembahasan dari Analisa Data

Berikut adalah data yang diperoleh dari tabel data pengamatan:

1. Saat LT, BI/RBO, dan RBI dari IC 74LS48 berturut-turut diberi input 0, 1, 1, dan saat
D, C, B, A diberi input apa pun, keluaran dari seven segmen a hingga g secara berturut-
turut adalah 1, 1, 1, 1, 1, 1, 1 (mewakili angka desimal 8).
2. Saat LT, BI/RBO, dan RBI dari IC 74LS48 berturut-turut diberi input 0, 0, 1, dan saat
D, C, B, A diberi input apa pun, keluaran dari seven segmen a hingga g secara berturut-
turut adalah 0, 0, 0, 0, 0, 0, 0 (tidak mewakili angka desimal apa pun).
3. Saat LT, BI/RBO, dan RBI dari IC 74LS48 berturut-turut diberi input 0, 1, 1, dan saat
D, C, B, A diberi input apa pun, keluaran dari seven segmen a hingga g secara berturut-
turut adalah 1, 1, 1, 1, 1, 1, 0 (mewakili angka desimal 0).
4. Saat LT, BI/RBO, dan RBI dari IC 74LS48 berturut-turut diberi input 1, 1, 1, dan saat
D, C, B, A dari IC 74LS48 berturut-turut diberi input 0, 0, 0, 0, keluaran dari seven
segmen a hingga g secara berturut-turut adalah 1, 1, 1, 1, 1, 1, 0 (mewakili angka
desimal 0).
5. Saat LT, BI/RBO, dan RBI dari IC 74LS48 berturut-turut diberi input 1, 1, 1, dan saat
D, C, B, A dari IC 74LS48 berturut-turut diberi input 0, 0, 0, 1, keluaran dari seven
segmen a hingga g secara berturut-turut adalah 0, 1, 1, 0, 0, 0, 0 (mewakili angka
desimal 1).
6. Saat LT, BI/RBO, dan RBI dari IC 74LS48 berturut-turut diberi input 1, 1, 1, dan saat
D, C, B, A dari IC 74LS48 berturut-turut diberi input 0, 0, 1, 0, keluaran dari seven
segmen a hingga g secara berturut-turut adalah 1, 1, 0, 1, 1, 0, 1 (mewakili angka
desimal 2).
7. Saat LT, BI/RBO, dan RBI dari IC 74LS48 berturut-turut diberi input 1, 1, 1, dan saat
D, C, B, A dari IC 74LS48 berturut-turut diberi input
8. 0, 0, 1, 1, keluaran dari seven segmen a hingga g secara berturut-turut adalah 1, 1, 1,
1, 0, 0, 1 (mewakili angka desimal 3).
9. Saat LT, BI/RBO, dan RBI dari IC 74LS48 berturut-turut diberi input 1, 1, 1, dan saat
D, C, B, A dari IC 74LS48 berturut-turut diberi input 0, 1, 0, 0, keluaran dari seven
segmen a hingga g secara berturut-turut adalah 0, 1, 1, 0, 0, 1, 1 (mewakili angka
desimal 4).
10. Saat LT, BI/RBO, dan RBI dari IC 74LS48 berturut-turut diberi input 1, 1, 1, dan saat
D, C, B, A dari IC 74LS48 berturut-turut diberi input 0, 1, 0, 1, keluaran dari seven
segmen a hingga g secara berturut-turut adalah 1, 0, 1, 1, 0, 1, 1 (mewakili angka
desimal 5).
11. Saat LT, BI/RBO, dan RBI dari IC 74LS48 berturut-turut diberi input 1, 1, 1, dan saat
D, C, B, A dari IC 74LS48 berturut-turut diberi input 0, 1, 1, 0, keluaran dari seven
segmen a hingga g secara berturut-turut adalah 0, 0, 0, 1, 1, 1, 1 (mewakili angka
desimal 6).
12. Saat LT, BI/RBO, dan RBI dari IC 74LS48 berturut-turut diberi input 1, 1, 1, dan saat
D, C, B, A dari IC 74LS48 berturut-turut diberi input 0, 1, 1, 1, keluaran dari seven
segmen a hingga g secara berturut-turut adalah 1, 1, 1, 0, 0, 0, 0 (mewakili angka
desimal 7).
13. Saat LT, BI/RBO, dan RBI dari IC 74LS48 berturut-turut diberi input 1, 1, 1, dan saat
D, C, B, A dari IC 74LS48 berturut-turut diberi input 1, 0, 0, 0, keluaran dari seven
segmen a hingga g secara berturut-turut adalah 1, 1, 1, 1, 1, 1, 1 (mewakili angka
desimal 8).
14. Saat LT, BI/RBO, dan RBI dari IC 74LS48 berturut-turut diberi input 1, 1, 1, dan saat
D, C, B, A dari IC 74LS48 berturut-turut diberi input 1, 0, 0, 1, keluaran dari seven
seg
15. men a hingga g secara berturut-turut adalah 1, 1, 1, 0, 0, 1, 1 (mewakili angka desimal
9).
16. Saat LT, BI/RBO, dan RBI dari IC 74LS48 berturut-turut diberi input 1, 1, 1, dan saat
D, C, B, A dari IC 74LS48 berturut-turut diberi input 1, 0, 1, 0, keluaran dari seven
segmen a hingga g secara berturut-turut adalah 1, 0, 1, 1, 0, 0, 0 (tidak menunjukkan
angka desimal).
17. Saat LT, BI/RBO, dan RBI dari IC 74LS48 berturut-turut diberi input 1, 1, 1, dan saat
D, C, B, A dari IC 74LS48 berturut-turut diberi input 1, 0, 1, 1, keluaran dari seven
segmen a hingga g secara berturut-turut adalah 1, 0, 0, 1, 1, 0, 0 (tidak menunjukkan
angka desimal).
18. Saat LT, BI/RBO, dan RBI dari IC 74LS48 berturut-turut diberi input 1, 1, 1, dan saat
D, C, B, A dari IC 74LS48 berturut-turut diberi input 1, 1, 0, 0, keluaran dari seven
segmen a hingga g secara berturut-turut adalah 1, 1, 0, 0, 0, 1, 0 (tidak menunjukkan
angka desimal).
19. Saat LT, BI/RBO, dan RBI dari IC 74LS48 berturut-turut diberi input 1, 1, 1, dan saat
D, C, B, A dari IC 74LS48 berturut-turut diberi input 1, 1, 0, 1, keluaran dari seven
segmen a hingga g secara berturut-turut adalah 1, 1, 0, 1, 0, 0, 1 (tidak menunjukkan
angka desimal).
20. Saat LT, BI/RBO, dan RBI dari IC 74LS48 berturut-turut diberi input 1, 1, 1, dan saat
D, C, B, A dari IC 74LS48 berturut-turut diberi input 1, 1, 1, 0, keluaran dari seven
segmen a hingga g secara berturut-turut adalah 1, 1, 1, 1, 0, 0, 0 (tidak menunjukkan
angka desimal).
21. Saat LT, BI/RBO, dan RBI dari IC 74LS48 berturut-turut diberi input 1, 1, 1, dan saat
D, C, B, A dari IC 74LS48 berturut-turut diberi input 1, 1, 1, 1, keluaran dari seven
segmen a hingga g secara berturut-turut adalah 0, 0, 0, 0, 0, 0, 0 (tidak menunjukkan
angka desimal).
Berdasarkan data-data tersebut, kita dapat menentukan konfigurasi input D, C, B, A yang
diperlukan untuk menampilkan angka-angka desimal pada seven segmen. Hasil percobaan
yang dilakukan sesuai dengan teori, dan output yang diperoleh membentuk pola desimal.
Berikut adalah tabel kebenaran dari seven segmen:

Gambar 3.4 Tabel Kebenaran Seven Segmen


Dari percobaan tersebut, kita dapat menyimpulkan bahwa IC yang digunakan adalah IC
74LS48 yang berfungsi sebagai decoder BCD ke seven segment. Prinsip kerja IC ini adalah
untuk mempermudah penggunaan seven segmen dengan menterjemahkan empat input
bilangan biner menjadi bentuk desimal (angka 0-9) yang akan ditampilkan oleh seven segmen.
Jenis display seven segmen yang digunakan dalam percobaan adalah common cathode. IC
74LS48 ini menerima masukan berupa bilangan BCD 4 bit (A, B, C, dan D) untuk
menampilkan angka yang sesuai pada seven segmen.

BAB III
KESIMPULAN

Rangkaian BCD ke seven segmen adalah sistem yang mengubah bilangan biner menjadi
bilangan desimal untuk ditampilkan pada seven segmen. Input biner dikirim ke decoder yang
mengonversinya menjadi bilangan desimal. Bilangan desimal tersebut kemudian ditampilkan
pada tujuh segmen individu pada seven segmen. Rangkaian ini digunakan untuk tampilan
angka desimal dalam berbagai aplikasi seperti pengukuran dan perhitungan elektronik.

Anda mungkin juga menyukai