Anda di halaman 1dari 40

Kegiatan Belajar 1

RANGKAIAN ELEKTRONIKA DIGITAL

Kompetensi Dasar

3.10 Menerapkan macam-macam rangkaian elektronika digital


4.10 Menguji macam-macam rangkaian elektronika digital

Tujuan Pembelajaran

3.10.1 Menganalisis rangkaian elektronika digital


3.10.2 Merencanakan rangkaian elektronika digital
4.10.1 Merakit rangkaian digital.
4.10.2 Menguji rangkaian digital.

B. URAIAN MATERI

1) Pendahuluan Rangkaian Elektronika Digital


Rangkaian elekronika digital merupakan sistem elektronika yang disusun dengan
berbagai jenis komponen elektronik dan bekerja menggunakan sinyal digital. Pengertian
lain dari rangkaian digital adalah rangkaian yang memerlukan energi kimia ataupun
aliran dari listrik agar dapat berfungsi atau dapat membuat bergerak suatu benda.
Rangkaian ini adalah penerapan dari ilmu aljabar boolean yang banyak digunakan
pada peralatan elektronik seperti handphone, televisi, komputer, dan lain-lain. Hal ini
disebabkan rangkaian elektronika digital memiliki berbagai keuntungan, diataranya
seperti sistem digital memiliki antar muka yang gampang untuk dikendalikan
menggunakan komputer. Dengan peyimpanan informasi sistem ini menjadikannya
sangatlah mudah jika dibanding dengan sistem analog. Namun tetap saja ada
kekurangannya, yaitu memerlukan energi yang lebih banyak; lebih mahal; serta lebih
rapuh.
Dalam praktiknya, Rangkaian ini dianggap sebagai raja dari setiap peralatan
elektronik. Contoh nya saja adalah Televisi, dimana saat kita mengatur untuk
menambah volume atau mengurangi suara serta mengatur menu lainnya adalah
penerapan dari teknologi digital sekaligus sebagai bukti keberadaannya yang penting.
Didalam rangkaian digital ada susunan yang disebut dengan gerbang logika, yang
fungsinya untuk melakukan suatu operasi logika di satu ataupun lebih input kemudian
dihasilkan sebuah output tunggal. Hasil berupa output tunggal inilah yang merupakan
hasil-dari serangkaian operasilogika yang didasarkan pada prinsip-prinsip aljabar
boolean. Didalam ilmu elektronik sendiri, output serta input tersebut diwujudkan dari
arus atau voltase yang tergantung pada type elektronik yang dipakai.

1|Modul PRE 2
Lambang yang digunakan dalam Rangkaian Elektronika Digital adalah dengan
notasi berupa aljabar 1 serta 0. Notasi 1 merupakan perlambang adanya koneksi,
sebaliknya notasi 0 adalah perlambang tidak adanya hubungan. Cara yang paling
mudah untuk memiliki pemahanan tentang kedua notasi tersebut adalah pada saklar
sebuah lampu. Dimana saat kita menekan tombol ON akan menyebabkan terjadinya
hubungan yang dinamakan notasi 1, dan ketika kita menekan tombol OFF akan
menyebabkan putusnya koneksi yang dinotasikan 0.

Rangkaian Digital adalah rangkaian yang merepresentasikan sinyal masukkan


maupun keluarannya dengan menggunakan logika nilai diskrit. Logika nilai diskrit
sendiri terdiri dari 2 kondisi yaitu kondisi di mana sebuah rangkaian bernilai 0 atau tidak
beroperasi dapat disebut sebagai kondisi LOW, dan kondisi di mana sebuah rangkaian
bernilai angka 1 yang mempunyai arti bahwa rangkaian tersebut sedang beroperasi.
Bahasa logika/biner ini adalah bahasa satu-satunya yang dapat dimengerti oleh
mesin, maka itulah untuk memberikan tingkat efisiensi dan efektifitas dari sebuah mesin
maka diaplikasikanlah system digital.
Rangkaian digital sendiri dapat terbagi menjadi 2 sifat, yaitu rangkaian
kombinasional dan rangkaian sekuensial.
1. Rangkaian Kombinasional
adalah rangkaiaan yang terdiri dari gerbang logika yang memiliki output yang
selalu tergantung pada kombinasi input yang ada. Rangkaian kombinasional melakukan
operasi yang dapat ditentukan secara logika dengan memakai sebuah fungsi boolean.
Ada beberapa Rangkaian logika kombinasional yang akan dibahas adalah Enkoder,
Dekoder, Multiplexer, dan Demultiplexer
2. RangkaianSekuensial
adalah rangkaian logika yang kondisi keluarannya dipengaruhi oleh masukan dan
keadaan keluaran sebelumnya atau dapat dikatakan rangkaian yang bekerja
berdasarkan urutan waktu. Ciri rangkaian logika sekuensial yang utama adalah adanya
jalur umpan balik (feedback) di dalam rangkaiannya. Bentuk dasar dari sekuensial
adalah flip-flop. Beberapa jenis flip-flop yaitu : flip-flop R-S, flip-flop D, flip-flop J-K, dan
flip-flop T.
2) Jenis-Jenis Gerbang Logika Dasar
Gerbang logika merupakan dasar pembentuk system digital atau rangkaian
dasar yang membentuk komputer. Sebuah gerbang logika sederhana mempunyai satu
terminal output dan satu atau lebih terminal input. Keluarannya dapat tinggi atau rendah
tergantung level dari terminal input yang diberikan. Berkaitan dengan tegangan yang
digunakan maka tegangan tinggi berarti 1 dan tegangan rendah adalah 0.

2|Modul PRE 2
1. Fungsi AND gate
Fungsi AND dapat digambarkan dengan rangkaian listrik menggunakan saklar
seperti dibawah ini:
Keterangan:
A B A & B adalah saklar
Y

Y adalah lampu

Jika saklar dibuka maka berlogika 0, jika saklar ditutup maka berlogika 1. Fungsi
logika yang dijalankan rangkaian AND adalah sebagai berikut:
1. Jika kedua saklar A & B dibuka maka lampu padam
2. Jika salah satu dalam keadaan tertutup maka lampu padam
3. Jika kedua saklar tertutup maka lampu nyala

Simbol Gerbang AND Tabel Kebenaran


OUTPU
INPUT
A T
Y=A.B A B Y
B =AB
0 0 0
0 1 0
1 0 0
1 1 1

Gerbang AND adalah gerbang yang memberikan keluaran 1 jika semua


masukan diberikan 1. Dalam persamaan Boolean dapat ditulis X = A.B Satu
contoh kegunaan rangkaian gerbang AND adalah system alarm pada sebuah
bank, keluaran gerbang AND (alarm aktif=1) apabila pintu depan terbuka dan
brankas dibuka. Salah satu IC gerbang AND adalah 7408.

Gambar IC gerbang AND 7408

2. Fungsi OR gate
Fungsi OR dapat digambarkan dengan rangkaian seperti dibawah ini.
A Keterangan:
Y
B A dan B =Saklar
Y= lampu

3|Modul PRE 2
Simbol Gerbang OR Tabel kebenaran
OUTPU
INPUT
T
A
A B Y
Y=A+B 0 0 0
B
0 1 1
1 0 1
1 1 1

Karakteristik: Jika A dan B adalah input sedangkan Y output maka output


gerbang OR akan berlogika 1 jika salah satu atau kedua input adalah berlogika
1. Dalam persamaan Boolean dapat ditulis X = A+B. contoh IC untuk gerbang
OR adalah 7432

Gambar IC gerbang OR seri 7432

3. Fungsi NOT gate


Fungsi NOT dapat digambarkan dengan rangkaian seperti gambar dibawah ini:
Jika saklar dibuka maka berlogika 0, jika saklar

A Y ditutup disebut berlogika 1.

Simbol Fungsi NOT Tabel Kebenaran


INPUT OUTPUT
A Y A Y
0 1
1 0

Karakteristik: Jika adalah input, output adalah kebalikan dari input. Artinya Jika
input berlogika 1 maka output akan berlogika 0 dan sebaliknya. Persamaan
Boolean untuk inverter adalah dengan menulis garis diatas variable seperti X = A
(X = tidak A)

4|Modul PRE 2
Gambar IC gerbang NOT 7404

4. Fungsi NAND gate


NAND adalah rangkaian dari NOT AND. Gerbang NAND digambarkan sebagai
berikut:
A
Y = AB
A
Y = AB
B B

AND NOT NAND


Menjadi:

NAND sebagai sakelar Tabel kebenaran


Input Output
A A B Y
Y
0 0 1
0 1 1
B 1 0 1
1 1 0

Gerbang NAND bisa mempunyai lebih dari dua input. Dari table kebenaran,
gerbang NAND keluaran/outputnya akan selalu 1 jika kedua input 0.
Contoh IC gerbang NAND adalah adalah 7400

5. Fungsi NOR gate


NOR adalah singkatan dari NOT OR. Gerbang NOR merupakan gabungan dari
gerbang NOT dan OR. Digambarkan sebagai berikut:

A
Y = A+B A
B
menjadi: Y = A+B
B

5|Modul PRE 2
NOR dengan saklar Tabel kebenaran

Input Output
A B Y
A B Y 0 0 1
0 1 0
1 0 0
1 1 0

Pada tabel kebenaran, keluaran Y akan 1 jika kedua inputnya 0.

Gambar IC gerbang OR 702

6. Fungsi EX-OR (Exlusive OR)


Gerbang X-OR adalah rangkaian OR yang eksklusif karena keluarannya akan
nol jika kedua masukannya sama dan keluarannya akan 0 jika salah satu
masukannya berbeda. Rangkaian EX-OR disusun dengan menggunkan gerbang
AND, OR, NOT seperti dibawah ini.

Simbol Gerbang EX-OR Tabel Kebenaran


A
Y=A+B
B
Input Output
Y= A.B + A.B A B Y
0 0 0
0 1 1
1 0 1
1 1 0

7. Fungsi EX-NOR
Gerbang X-NOR akan memberikan output berlogika 0 jika jumlah logika 1 pada
inputnya ganjil. Dan akan berlogika 1 jika kedua inputnya sama. Rangkaian EX-
NOR disusun dengan menggunka gerbang AND, OR, NOT seperti dibawah ini.

6|Modul PRE 2
Simbol Gerbang EX-NOR Tabel Kebenaran

Input Output
A A B Y
Y= A+B
B 0 0 1
0 1 0
1 0 0
1 1 1

C. LEMBAR PRAKTIKUM

LEMBAR KERJA PESERTA DIDIK


Judul: GERBANG LOGIKA DASAR
Alat dan bahan
1. Power supply 5 volt DC 1buah
2. Trainer Digital 1buah
3. IC TTL tipe7400 (NAND gate) 1buah
4. IC TTL tipe7402 (NOR gate) 1buah
5. IC TTL tipe7404 (NOT gate) 1buah
6. IC TTL tipe7408 (AND gate) 1buah
7. IC TTL tipe7432 (OR gate) 1buah
8. Jumper secukupnya
Langkah kerja
1. Siapkan power supply 5 volt DC
2. Hubungkan terminal Vcc dari semua modul pada tegangan 5 volt DC
3. Hubungkan terminal ground dari semua modul
4. Buatlah rangkaian gerbang seperti gambar
5. Berikan kondisi logik sesuai pada tabel
6. Catat hasilnya pada kolom output
7. Buatlah laporan dari percobaan ini sesuai dengan format laporan!
8. Ulangi langkah kerja 4 dan 5 untuk rangkaian gerbang logika yang lain.

a) AND gate
Tabel 1 Gambar 1
INPUT OUTPUT
A B Software Praktek
0 0
0 1
1 0
1 1

7|Modul PRE 2
b) OR gate
Tabel 2 Gambar 2

INPUT OUTPUT
A B Software Praktek
0 0
0 1
1 0
1 1

c) NOT gate
Tabel 3. Gambar 3
INPUT OUTPUT
A Y
0
1

d) NAND gate
Tabel 4 Gambar 4
INPUT OUTPUT
A B Software Praktek
0 0
0 1
1 0
1 1

e) NOR gate
Tabel 5 Gambar 5
INPUT OUTPUT A
A B Software Praktek B

0 0 Y
0 1
1 0
1 1

f) Kombinasi gerbang logika


Tabel 6 Gambar 6
INPUT OUTPUT (Y)
A B Software Praktek
0 0
0 1
1 0
1 1

8|Modul PRE 2
D. LEMBAR EVALUASI

1. Perhatikan gambar dibawah ini:


S1

S2

S3

Jelaskan prinsip kerjanya dan fungsi logika apa yang dijalankan!


2. Buatlah tabel kebenaran umtuk gerbang AND 3 input!
A
B Y
C

3. Perhatikan dan analisalah rangkaian berikut dengan membuat tabel kebenaran!

4. Bagaimanakah deretan pulsa yang terlihat pada keluaran gerbang EX-OR gambar
dibawah ini:
A 01100111
B 11000100 Y
C 00101101

9|Modul PRE 2
Kegiatan Belajar 2

RANGKAIAN DIGITAL KOMBINASI

Kompetensi Dasar

3.11 Menerapkan rangkaian digital kombinasi


4.11 Menerapkan rangkaian digital kombinasi

Tujuan Pembelajaran

3.11.1 Menganalisis rangkaian digital kombinasi.


3.11.2 Merencanakan rangkaian digital kombinasi.
4.11.1 Merakit rangkaian elektronika digital kombinasi.
4.11.2 Menguji rangkaian elektronika digital kombinasi.

B. URAIAN MATERI

Rangkaian Kombinasional
Rangkaian kombinasional terdiri dari gerbang logika yang memiliki output yang
selalu tergantung pada kombinasi input yang ada. Rangkaian kombinasional melakukan
operasi yang dapat ditentukan secara logika dengan memakai sebuah fungsi boolean.
Ada beberapa Rangkaian logika kombinasional yang akan dibahas adalah Enkoder,
Dekoder, Multiplexer, dan Demultiplexer.
1) Enkoder
Enkoder adalah rangkaian logika kombinasional yang berfungsi untuk mengubah
atau mengkodekan suatu sinyal masukan diskrit menjadi keluaran kode biner.
Enkoder disusun dari gerbang-gerbang logika yang menghasilkan keluaran biner
sebagai hasil tanggapan adanya dua atau lebih variabel masukan. Hasil keluarannya
dinyatakan dengan aljabar boole, tergantung dari kombinasi - kombinasi gerbang yang
digunakan.
Sebuah Enkoder harus memenuhi syarat perancangan m < 2 n . Variabel m adalah
kombinasi masukan dan n adalah jumlah bit keluaran sebuah enkoder. Satu kombinasi
masukan hanya dapat mewakili satu kombinasi keluaran.
Rangkaian Encoder juga dapat disusun dengan menggunakan gerbang NAND sebagai
berikut:

10 | M o d u l P R E 2
Tabel kebenaran dari rangkaian Encoder Desimal ke BCD dengan dioda logika
dan gernag NAND sebagai berikut:

Saklar yang Output


ditekan D C B A
0 0 0 0 0
1 0 0 0 1
2 0 0 1 0
3 0 0 1 1
4 0 1 0 0
5 0 1 0 1
6 0 1 1 0
7 0 1 1 1
8 1 0 0 0
9 1 0 0 1

Contoh encoder oktal ke biner atau disebut juga encoder 8 ke 3, berfungsi


mengubah data bilangan oktal pada inputnya menjadi kode biner 3-bit pada outputnya.
Pada umumnya encoder menghasilkan kode 2-bit, 3-bit atau 4-bit. Encoder n bit
memiliki 2n saluran input.
Masih banyak jenis Encoder yang lain, yang dapat menyandikan simbol
komunikasi angka dan abjad ke angka biner. Aturan ini distandarkan oleh ASCII
(American Standard Code for Information Interchange). Penyandi ini dipakai dalam
Komputer.

11 | M o d u l P R E 2
2) Dekoder
Pengertian decoder adalah suatu rangkaian yang dibangun dari gerbang-
gerbang logika untuk memecahkan sandi-sandi digital menjadi bahasa manusia
(analog).
Jenis-jenis rangkaian decoder
1. BCD to & 7segment Decoder
a
a
MSB b
D
C
7447 c f b
Input d g
B
e e c
A
f
LSB
g d

Gb.2 BCD to Seven Segment Decoder

Kombinasi masukan biner dari jalan masukan akan diterjemahkan oleh decoder,
sehingga akan membentuk kombinasi nyala LED peraga (7 segment LED), yang
sesuai kombinasi masukan biner tersebut. Sebagai contoh, Jika masukan biner
DCBA = 0001, maka decoder akan memilih jalur keluaran mana yang akan
diaktifkan. Dalam hal ini saluran b dan c diaktifkan sehingga lampu LED b dan C
menyala dan menandakan angka 1.
2. Decoder BCD ke decimal
Keluarannya dihubungkan dengan tabung indikator angka. Sehingga kombinasi
angka biner akan menghidupkan lampu indikator angka yang sesuai. Sebagai
contoh D = C = B = 0 , A= 1, akan menghidupkan lampu indikator angka 1.
Lampu indikator yang menyala akan sesuai dengan angka biner dalam jalan
masuk.
9
8
7
MSB
D 6
C
7442 5
Tabungan
B 4 angka
A 3
LSB 2
1
0

Gb.3 Decoder BCD ke Desimal

Gambar 3. Decoder BCD ke Decimal

INPUT OUTPUT
D C B A 0 1 2 3 4 5 6 7 8 9
0 0 0 0 1 0 0 0 0 0 0 0 0 0
0 0 0 1 0 1 0 0 0 0 0 0 0 0
0 0 1 0 0 1 0 0 0 0 0 0 0 0
0 0 1 1 0 0 1 0 0 0 0 0 0 0
0 1 0 0 0 0 0 1 0 0 0 0 0 0
0 1 0 1 0 0 0 0 1 0 0 0 0 0
0 1 1 0 0 0 0 0 0 1 0 0 0 0
0 1 1 1 0 0 0 0 0 0 1 0 0 0
0 0 0 0 0 0 0 0 0 0 0 1 0 0
0 0 0 1 0 0 0 0 0 0 0 0 1 0
12 | M o d u l P R E 2
3) Multiflexer
Multiplexer adalah suatu rangkaian elektronik digital yang sering disebut juga
sebagai data selector. Multiplexer mempunyai multi input dan umumnya akan dipilih
hanya salah satu input tersebut untuk dikeluarkan pada bagian output berdasarkan
selektor data yang dipilih.

Gambar. Simbol multiflexer

Fungsi multiplexer adalah memilih 1 dari N sumber data masukan dan meneruskan
data yang dipilih itu kepada suatu saluran informasi tunggal. Mengingat bahwa dalam
demultiplexer hanya terdapat satu jalan masuk dan mengeluarkan data-data yang
masuk kepada salah satu dari N saluran keluar, maka suatu multiplexer sebenarnya
melaksanakan proses kebalikan dari demultiplexer. Gambar berikut adalah merupakan
suatu multiplexer 4 ke 1 saluran.
B A
Gambar Multiplexer
4 masukan ke 1
saluran keluaran

D0
.
A B

D1
.
A B

D2
.
A B

D3 .
A B

Karnaugh Map untuk perencanaan rangkaian multiplexer 4 masukan ke 1 saluran


adalah sebagai berikut:

IC Multiplexer yang disimulasikan di sini adalah jenis IC TTL SN74LS151 mempunyai 8-


data input (D0-D7) , 3-buah saklar pemilih (C, B, A) , dan 1-buah strobe atau enable
(G), serta 1-buah output (Y) dan output (W) yang lain merupakan kebalikan dari output
Y (W= Y). Pada IC 74LS151 ini, jikadata input8-bit tersebut terjadi sembarang, dan

13 | M o d u l P R E 2
strobe atau enable G harus dikondisikan “low” (“0”), dengan control data selector (C,
B,A) berurutan kombinasinya sesuai tahapan counter, maka output Y pada multiplexer
dipilih salah satu sesuai urutan counter data selector , yaitu mulai dari D0-D7). Aplikasi
multiplexer ini sangat cocok untuk saluran bus data pada teknik mikrokomputer.

4) Demultiflexer
Rangkaian logika kombinasional Demultiplekser adalah Komponen yang berfungsi
kebalikan dari MUX. Pada DEMUX, jumlah masukannya hanya satu, tetapi bagian
keluarannya banyak. Signal pada bagian input ini akan disalurkan ke bagian output
(channel) yang mana tergantung dari kendali pada bagian SELECTnya.
1

INPUT A B
3

Y0
4

1
2 12
13 ?

3
Y1
4 6
5 ?

9
Y2
10 8
11 ?

1
Y3
2 12
13 ?
74LS15

Gambar simbol demultiflexer

Gambar realisasi rangkaian Demultiplekser untuk masukan 1 keluaran 4 Karnaugh Map


untuk perencanaan rangkaian demultiplexer masukan 1 keluaran 4.

5) Adder
Adder merupakan rangkain ALU (Arithmetic and Logic Unit) yang digunakan untuk
menjumlahkan bilangan. Karena adder digunakan untuk memproses operasi aritmatika,
maka adder juga sering disebut rangkaian kombinasional aritmatika. Ada 3 jenis Adder,
yaitu:
Rangkaian adder yang hanya menjumlahkan dua bit disebut Half Adder.
Rangkaian adder yang hanya menjumlahkan tiga bit disebut Full Adder.
Rangkaian adder yang menjumlahkan banyak bit disebut Paralel Adder.
a. Half Adder.
Rangkaian half adder merupakan dasar bilangan biner yang masing-masing hanya
terdiri dari satu bit, oleh karena itu dinamakan penjumlah tak lengkap.
Jika A=0 dan B=0 dijumlahkan, hasilnya S (Sum) = 0.
14 | M o d u l P R E 2
Jika A=0 dan B=0 dijumlahkan, hasilnya S (Sum) = 1.
Jika A=1 dan B=1 dijumlahkan, hasilnya S (Sum) = 0. Dengan nilai pindahan Cy (Carry
Out) = 1.
Dengan demikian, half adder memiliki dua masukan (A dan B), dan dua keluaran (S dan
Cy).
A B SUM CARRY
0 0 0 0
0 1 1 0
1 0 1 0
1 1 0 1

Dari tabel diatas, terlihat bahwa nilai logika dari Sum sama dengan nilai logika dari
gerbang XOR, sedangkan nilai logika Cy sama dengan gerbang logika AND. Dari tabel
diatas, dapat dibuat rangkaian half adder seperti dibawah ini.

b. Full Adder
Full adder adalag mengolah data penjumlahan 3 bit bilangan atau lebih (bit tidak
terbatas), oleh karena itu dinamakan rangkaian penjumlah lengkap. Perhatikan tabel
dibawah ini.
A B C S CY
0 0 0 0 0
0 0 1 1 0
0 1 0 1 0
0 1 1 0 1
1 0 0 1 0
1 0 1 0 1
1 1 0 0 1
1 1 1 1 1

Gambar Rangkaian Full adder


c. Paralel Adder
Paralel Adder adalah rangkaian Full Adder yang disusun secara paralel dan
berfungsi untuk menjumlahkan bilangan biner berapa pun bitnya, tergantung jumlah Full
15 | M o d u l P R E 2
Adder yang diparalelkan. Gambar dibawah ini menunjukan Paralel Adder yang terdiri
dari 4 buah Full Adder yang disusun paralel sehingga membentuk sebuah penjumlahan
4 bit.

C. LEMBAR PRAKTIKUM

LEMBAR KERJA PESERTA DIDIK


I. Percobaan 1 (Demultiflexer dengan IC 74139)
a. Buatlah rangkaian seperti di bawah ini
Selektor

D (Input) B A
0

D4 D3 D2 D1
LED-YELLOWLED-YELLOW LED-YELLOW LED-YELLOW

R1 R2 R3 R4
220R 220R 220R 220R

U1:A
2 4
A Y0
3 5
B Y1
6
Y2
1 7
E Y3

74LS139

b. Isilah tabel berikut ini sesuai hasil percaobaan di atas


D B A Y0 Y1 Y2 Y3
(INPUT)
0 0 0
0 0 1
0 1 0
0 1 1
1 0 0
1 0 1
1 1 0
1 1 1

16 | M o d u l P R E 2
II. Percobaan 2 (Multiflexer dengan IC 74251)
a. Buatlah rangkaian seperti di bawah ini
0

0
D3
0 LED-YELLOW

0
R2
0 U1 220R
4 6
D0 Y
0 3
D1
2 5
D2 Y
1
D3
0 15
D4
14
D5
13
D6
12
D7

11
A
10
B
9
C
7
OE
0
74251
0
0

b. Isilah tabel berikut ini sesuai dengan percobaan di atas


C B A D0 D1 D2 D3 D4 D5 D6 D7 D8 Y
0 0 0 1
0 0 1 1
0 1 0 1
0 1 1 1
1 0 0 1
1 0 1 1
1 1 0 1
1 1 1 1

III. Percobaan 3 (Dekoder dengan IC 74138)


ALAT DAN BAHAN: laptop yang sudah terinstal program aplikasi ISIS Proteus
a. Buatlah rangkaian seperti di bawah ini

D1
10k 10k 10k R1
D2
330R
U2
R2
1 15
A Y0
2 14 LED-RED
3
B Y1
13
330R D8
C Y2 R3
12
Y3
11
6
Y4
10
330R D7
S1 S2 S3 E1 Y5 R4 LED-RED
4 9
E2 Y6
5 7
E3 Y7 330R D6
R5 LED-RED
74LS138

330R D5
R6 LED-RED

330R D4
R7 LED-RED

330R D3
R8 LED-RED

330R
LED-RED

17 | M o d u l P R E 2
b. Isilah tabel berikut ini sesuai dengan hasil percabaan di atas!
Selektor Output
S1 S2 S3 Y0 Y1 Y2 Y3 Y4 Y5 Y6 Y7
0 0 0
0 0 1
0 1 0
0 1 1
1 0 0
1 0 1
1 1 0
1 1 1

c. Buatlah kesimpulan

IV. Percobaan 4: BCD to 7 segment LED decoder


 ALAT DAN BAHAN

1. IC TTL 7447 5. Papan pecobaan/bread board


2. IC 7segment LED 6. Kabel penghubung secukupnya
3. R 220 Ohm 7. Multi meter
4. Catu daya 5V
 LANGKAH KERJA

1. Siapkan peralatan dan bahan yang akan digunakan.


2. Buatlah rangkaian BCD to & segment LED seperti gambar.
+5V +5V

a
a
MSB b
D
C
7447 c f b
d g
B
e e c
A
f
LSB
g d

Common
Anoda

3. Hubungkan catu dari batere 5 V dengan rangkaian, kemudian amati apa yang
tejadi pada LED sebagai output jika input DCBA diberikan dan catat hasilnya dan
masukkan pada tabel.
4. Bagaimana kesimpulan dari hasil percobaan ini?
5. Kembalikan alat dan bahan ke tempat semula.
6. Buatlah laporan kerja berdasarkan hasil praktek.

18 | M o d u l P R E 2
Hasil Pengamatan BCD to 7 Segment Decoder

INPUT OUTPUT Decimal


D C B A a b c d e f g Output
0 0 0 0
0 0 0 1
0 0 1 0
0 0 1 1
0 1 0 0
0 1 0 1
0 1 1 0
0 1 1 1
1 0 0 0
1 0 0 1

D. LEMBAR EVALUASI

1. Rangkaian decoder adalah…


A. rangkaian logika yang berfungsi untuk menterjemahkan bahasa manusia
(analog) kedalam bahasa mesin (digital).
B. rangkaian logika yang menerima beberapa input data digital dan menyeleksi
salah satu dari input tersebut pada saat tertentu, untuk dikeluarkan pada sisi
output
C. rangkaian logika yang menerima satu input data dan mendistribusikan input
tersebut ke beberapa output yang tersedia.
D. rangkaian logika untuk memecahkan sandi-sandi digital menjadi bahasa manusia
(analog).
2. Perhatikan gambar dibawah! Jika switch 7 ditekan maka keluaran pada gerbang
logika ABCD adalah…
A. A = 0, B = 0, C = 0, D = 1
B. A = 0, B = 1, C = 1, D = 1
C. A = 1, B = 0, C = 0, D = 1
D. A = 0, B = 0, C = 1, D = 1
E. A = 1, B = 0, C = 1, D = 1
3. IC Decoder yang banyak di pasaran contohnya adalah...
A. 7473 B. 7447 C. 7474 D. 74173 E. 74151
4. Pada Decoder BCD to Seven Segment Jika input DCBA diberi logic 1001 maka
segmen-segmen yang menyala adalah...
A. bcdfg B. abcdg C. abcfg D. cdefg E. bcefg

19 | M o d u l P R E 2
5. Gambar di bawah ini adalah pengertian dari rangkaian...
A. Adder
B. Decoder
C. Encoder
D. Multiflexer
E. Demultiflexer

20 | M o d u l P R E 2
Kegiatan Belajar 3

RANGKAIAN SHIFT REGISTER

A.Kompetensi
KOMPETENSI
DasarDASAR DAN TUJUAN PEMBELAJARAN

3.12 Menerapkan macam-macam rangkaian shift register


4.12 Membuat macam-macam rangkaian shift register

Tujuan Pembelajaran

3.12. Menganalisis rangkaian shift register.


3.12.2 Merencanakan rangkaian shift register.
4.12.1 Merakit rangkaian shift register.
4.12.2 Menguji rangkaian shift register

B. URAIAN MATERI

RANGKAIAN SHIFT REGISTER

Register adalah sekelompok flip-flop yang dapat dipakai untuk menyimpan dan
untuk mengolah informasi dalam bentuk linier. Atau dengan kata lain Register
merupakan sekelompok flip flop yang dapat menyimpan informasi biner yang terdiri dari
bit majemuk.
Ada 2 jenis utama Register yaitu:
1. Storage Register (register penyimpan)
2. Shift Register (register geser)
Register penyimpan (Storage Register) digunakan apabila kita hendak menyimpan
informasi untuk sementara, sebelum informasi itu dibawa ke tempat lain. Banyaknya
kata/bit yang dapat disimpan, tergantung dari banyaknya flip-flop dalam register.
Satu flip-flop dapat menyimpan satu bit. Bila kita hendak menyimpan informasi 4 bit
maka kita butuhkan 4 flip-flop. Ada dua cara menyimpan dan membaca data ke dalam
register, yaitu seri dan paralel. Dalam operasi paralel, penyimpanan maupun
pembacaan dilakukan secara serentak oleh semua tingkat register. Sedangkan untuk
operasi seri, diterapkan secara sekuensial bit demi bit sampai semua tingkat register
terpenuhi.
Contoh: Register yang mengingat bilangan duaan (biner):
1101 terbaca pada keluaran Q.

21 | M o d u l P R E 2
Q Q Q Q Q Q Q Q

1 0 1 0 1 0 1 0

FFD FFC FFB FFA

Shift Register adalah suatu register dimana informasi dapat bergeser


(digeserkan). Dalam register geser flip-flop saling dikoneksi, sehingga isinya dapat
digeserkan dari satu flip-flop ke flip-flop yang lain, kekiri atau kekanan atas perintah
denyut lonceng (Clock).
Dalam alat ukur digit, register dipakai untuk mengingat data yang sedang ditampilkan.
Ada empat tipe register yang dapat dirancang dengan kombinasi masukan dan
keluaran dan kombinasi serial atau paralel :
1) Register Serial In Serial Out (SISO)
Pada register SISO, jalur masuk data berjumlah satu dan jalur keluaran juga
berjumlah satu. Pada jenis register ini data mengalami pergeseran, flip flop pertama
menerima masukan dari input, sedangkan flip flop kedua menerima masukan dari flip
flop pertama dan seterusnya.

Gambar Rangkaian Register Serial In Serial Out


Informasi/data dimasukan melalui input dan akan dikeluarkan jika ada denyut
lonceng/clock berlalu dari 1 ke 0. Karena jalan keluarnya flip-flop satu dihubungkan
kepada jalan masuk flip-flop berikutnya, maka informasi didalam register akan digeser
ke kanan selama tebing dari denyut lonceng (Clock).
Tabel Kebenaran (Misal masuknya 1101)

Clock ke input Q1 Q2 Q3 Q4
0 0 0 0 0 0
1 1 1 0 0 0
2 0 0 1 0 0
3 1 1 0 1 0
4 1 1 1 0 1
2) Register Serial In Paralel Out (SIPO)
Register SIPO, mempunyai satu saluran masukan saluran keluaran sejumlah flip
flop yang menyusunnya. Data masuk satu per satu (secara serial) dan dikeluarkan
secara serentak. Pengeluaran data dikendalikan oleh sebuah sinyal kontrol. Selama
sinyal kontrol tidak diberikan, data akan tetap tersimpan dalam register.

22 | M o d u l P R E 2
Rangkaian Register Serial In Paralel Out
Masukan-masukan data secara deret akan dikeluarkan oleh D-FF setelah
masukan denyut lonceng dari 0 ke 1. Keluaran data/informasi serial akan dapat dibaca
secara paralel setelah diberikan satu komando (Read Out/parallel output control). Bila
dijalan masuk Read Out diberi logik 0, maka semua keluaran AND adalah 0 dan bila
Read Out diberi logik 1, maka pintu-pintu AND menghubung langsungkan sinyal-sinyal
yang ada di Q masing-masing flip-flop.
Contoh: Bila masukan data 1101
TABEL KEBENARANNYA:
Read Out Clock Input Q1 Q2 Q3 Q4 A B C D
0 0 0 0 0 0 0 0 0 0 0
0 1 1 1 0 0 0 0 0 0 0
0 2 1 1 1 0 0 0 0 0 0
0 3 0 0 1 1 0 0 0 0 0
0 4 1 1 0 1 1 0 0 0 0
1 1 0 1 1 1 0 1 1

3) Register Paralel In serial Out (PISO)


Register PISO, mempunyai jalur masukan sejumlah flip flop yang menyusunnya,
dan hanya mempunyai satu jalur keluaran. Data masuk ke dalam register secara
serentak dengan di kendalikan sinyal kontrol, sedangkan data keluar satu per satu
(secara serial).

Rangkaian diatas merupakan register geser dengan panjang kata 4 bit. Semua jalan
masuk clock dihubungkan jajar. Data-data yang ada di Io, I1, I2, I3 dimasukkan ke flip-
flop secara serempak, apabila dijalan masuk parallel input control diberi logik 1.

23 | M o d u l P R E 2
Paralel input Io I2 I3 I4 A B C D
Clock
control
0 0 0 0 0 0 0 0 0 0
0 1 1 0 0 0 0 0 0 0
1 2 1 1 0 0 1 1 0 0
1 3 0 1 1 0 0 1 1 0
1 4 1 0 1 1 1 0 1 1

4) Register Paralel In Paralel Out (PIPO)


Register PIPO, mempunyai jalur masukan dan keluaran sesuai dengan jumlah flip
flop yang menyusunnya. Pada jenis ini data masuk dan keluar secara serentak.

Rangkaian Register Paralel In Paralel Out

Cara kerja:
Sebelum dimasuki data rangkaian direset dulu agar keluaran Q semuanya 0. Setelah itu
data dimasukkan secara paralel pada input D-FF dan data akan diloloskan keluar
secara paralel setelah flip-flop mendapat pulsa clock dari 0 ke 1.
Contoh:
TABEL KEBENARAN:
Clock Io I2 I3 D3 Qo Q1 Q2 Q3
0 1 1 0 1 0 0 0 0
1 1 1 0 1 1 1 0 1
2 1 0 0 1 1 0 0 1
3 0 0 0 1 0 0 0 1

24 | M o d u l P R E 2
C. LEMBAR PRAKTIKUM

LEMBAR KERJA PESERTA DIDIK


JUDUL : REGISTER

BAHAN KERJA

1. IC SN 7473 (dual JK FF with clear) 2 buah


2. IC SN 7400 (quadraple Z inputs NAND gate) 1 buah
3. IC SN 7474 (dual DFF with Preset dan Clear) 2 buah
4. IC SN 74164 (8 bit SIPO Shift Register) 1 buah
5. IC SN 74173(4 bit PIPO Register) 1 buah
6. Indikator (LED) 8 buah
7. Rangkaian Clock
ALAT KERJA

1. Papan percobaan
2. Kabel penghubung
3. Catu daya + 5 volt DC
4. Multimeter
LANGKAH KERJA

1. Percobaan I (SISO SRR menggunakan JK FF).


Rangkaian gambar berikut:

Bit-bit dimasukkan ke Word in (masukkan kata). Sebelum pulsa clock diberikan, FF


direset terlebih dahulu dengan cara memberikan logik 0 ke pin Clear. Masukkan
data dengan memberikan pulsa clock sesuai tabel berikut:

Word in Clock QA QB QC QD

0
1
1
0
1

25 | M o d u l P R E 2
2. Percobaan II (SIPO Register dengan D FF)
Buatlah rangkaian berikut:

Bit-bit dimasukkan ke input D FF-I. Sebelum pulsa clock diberikan, FF direset


terlebih dahulu. Berikan data input dan catat outputnya dalam tabel berikut:

Paralel Output
Input Clock output
QA QB QC QD
control
0 0
1 0
1 1
0 1
1 1

3. Percobaan III (PISO Register dengan D FF)


Buatlah rangkaian berikut:

Bit-bit dimasukkan ke input D FF-I. Sebelum pulsa clock diberikan, FF direset


terlebih dahulu. Berikan data input dan catat outputnya dalam tabel berikut:

Paralel Input Input Output


Clock
control Io I1 I2 I3 Qo Q1 Q2 Q3
0 0
1 0
2 1
3 1
4 1

4. Percobaan IV (Register PIPO menggunakan D FF)


Buat rangkaian berikut:

26 | M o d u l P R E 2
Bit-bit dimasukkan pada input D0, D1, D2, D3. Sebelum pulsa clock dimasukkan,
resetlah terlebih dahulu. Masukkan data dan catat outputnya dalam tabel berikut:

PARALEL IN PARALEL OUT


Clock
D0 D1 D2 D3 QA QB QC QD
0 0 0 0 1
1 0 1 0 1
2 1 1 0 1
3 1 0 0 1

5. Percobaan V (SRR menggunakan IC SN 74164)


Buatlah rangkaian berikut:

Bit-bit dimasukkan melalui terminal input 1 atau 2, Clock dimasukkan melalui pin 8
dan reset melalui pin 9. Sebelum data dimasukkan resetlah terlebih dahulu.
Masukkan data dan catat outputnya dalam tabel berikut:

Input Clock QA QB QC QD QE QF QG QH
0 0 0 0 0 0 0 0 0 0
1 1
1 2
0 3
1 4
0 5
0 6
1 7

6. Percobaan VI (PIPO menggunakan IC SN 74173)


Buatlah rangkaian berikut:

Bit-bit dimasukkan melalui terminal input 11, 12, 13, dan 14 Clock dimasukkan
melalui pin 7 dan reset melalui pin 15. Pin 1 dan 2 disatukan, pin 9 dan 10 disatukan

27 | M o d u l P R E 2
lalu diberi logic 0. Sebelum data dimasukkan resetlah terlebih dahulu. Masukkan
data dan catat outputnya dalam tabel berikut:

INPUT OUTPUT
Clock
D0 D1 D2 D3 Q0 Q1 Q2 Q3
0 0 0 1
0 1 0 1
1 1 0 1
1 0 0 1

C. LEMBAR EVALUASI

1. Sebutkan 5 fungsi dari Register?


2. Gambarkan rangkaian register SISO 3 bit menggunakan JK FF serta jelaskan
cara kerjanya!
3. Kenapa dalam register SIPO dalam membaca keluaran paralel, input Read Out
diberi logik 1?
4. Apakah fungsi Clear pada Register?
5. Lengkapilah tabel kebenaran berikut ini jika Shift Register tersebut mempunyai
output 8 bit secara SIPO, dimana Q8 merupakan LSB!
Paralel
Cloc Data
Output Q1 Q2 Q3 Q4 Q5 Q6 Q7 Q8
k input
Control
0 0 0 0 0 0 0 0 0 0 0
1 1 0
2 1 0
3 0 0
4 0 1
5 1 1
6 0 1
7 1 1
8 1 1

28 | M o d u l P R E 2
Kegiatan Belajar 4

RANGKAIAN COUNTER

B. KOMPETENSI DASAR DAN TUJUAN PEMBELAJARAN


Kompetensi Dasar

3.13 Menerapkan rangkaian penghitung ( counter).


4.13 Mengoperasikan rangkaian penghitung (counter)

Tujuan Pembelajaran

3.13.1 Menganalisis rangkaian penghitung (counter).


4.13.2 Merencanakan rangkaian penghitung ( counter).
4.13.1 Merakit rangkaian penghitung (counter).
4.13.2 Menguji rangkaian penghitung (counter).

C. URAIAN MATERI

Counters (pencacah) adalah alat/rangkaian digital yang berfungsi


menghitung/mencacah banyaknya pulsa cIock atau juga berfungsi sebagai pembagi
frekuensi, pembangkit kode biner.
Jenis counter:
a. Pencacah sinkron (syncronuous counters) atau pencacah jajar.
b. Pencacah tak sinkron (asyncronuous counters) yang kadang-kadang disebut
juga pencacah deret (series counters) atau pencacah kerut (rippIe counters).
Karakteristik counter
a. Kerjanya sinkron atau tak sinkron.
b. mencacah maju atau mundur.
c. sampai beberapa banyak ia dapat mencacah (modulo pencacah).
d. Dapat berjalan terus (free running) ataukah dapat berhenti sendiri (seIf stopping)
Macam-macam Kegunaan pencacah
a. menghitung obyek (barang produksi) sebagai pengukur dan pembagi frekuensi.
b. Mengukur waktu interval antara dua pulsa.
c. mengukur jarak.
d. mengukur kecepatan.
e. mengubah sinyal analog menjadi digital (Analog to Digital Converterrs/ADC)
f. mengubah sinyal digital ke analog (Digital to Analog Converter/DAC).
Langkah-langkah merancang counter
a. Karakteristik pencacah
b. Jenis flip-flop yang diperlukan/digunakan (D-FF, JK FF atau RS-FF).
c. Prasyarat perubahan logikanya (dari flip-flop yang digunakan).

29 | M o d u l P R E 2
1) Pencacah Tak sinkron
(asynkronuous counters) atau ripple through counters, Masukan untuk clock
dikendalikan tidak serempak tetapi secara berurutan. karena hanya flip-flop yang paling
ujung saja yang dikendalikan oleh sinyal clock untuk flip-flop lainnya diambilkan dari
masing-masing flip-flop sebelumnya. Banyaknya clock yang dimasukkan diterjemahkan
oleh flip-flop kedalam bentuk biner.
Macam-macam Pencacah Tak Sinkron
a. Pencacah maju tak sinkron free running( Up Counter Asinkron)

Output dari flip-flop QA menjadi clock dari flip-flop QB, sedangkan output dari
flip-flop QB menjadi clock dari flip-flop QC. Perubahan pada negatif edge di
masing-masing clock flip-flop sebelumnya menyebabkan flip-flop sesudahnya
berganti kondisi (toggle), sehingga input-input J dan K di masing-masing flip-flop
diberi nilai ”1”
Diagram waktu/timing diagram rangkaian tersebut adalah sebagai berikut:

Clock

QA

QB

QC

QD

30 | M o d u l P R E 2
Tabel Kebenaran Pencacah Maju Tak Sinkron:

QD QC QB QA
Clock Desimal
MSB LSB
0 0 0 0 0 0
1 0 0 0 1 1
2 0 0 1 0 2
3 0 0 1 1 3
4 0 1 0 0 4
5 0 1 0 1 5
6 0 1 1 0 6
7 0 1 1 1 7
8 1 0 0 0 8
9 1 0 0 1 9
10 1 0 1 0 10
11 1 0 1 1 11
12 1 1 0 0 12
13 1 1 0 1 13
14 1 1 1 0 14
15 1 1 1 1 15
Pencacah diatas dapat mencacah dari bilangan biner 0000 sampai dengan
1111 (dari 0 sampai 15 desimal). Pencacah tersebut merupkan pencacah 16
modulus (modulo 16 counters).

b. Pencacah mundur tak sinkron ( Down Counter Asinkron)

Diagram waktu/timing diagram dari rangkaian Pencacah mundur tak sinkron

Clock

QA

QB

QC

QD

31 | M o d u l P R E 2
Selanjutnya dari diagram waktu tersebut dapat dibuat tabel kebenaran
seperti berikut:

Clock QD QC QB QA Desimal
0 1 1 1 1 15
1 1 1 1 0 14
2 1 1 0 1 13
3 1 1 0 0 12
4 1 0 1 1 11
5 1 0 1 0 10
6 1 0 0 1 9
7 1 0 0 0 8
8 0 1 1 1 7
9 0 1 1 0 6
10 0 1 0 1 5
11 0 1 0 0 4
12 0 0 1 1 3
13 0 0 1 0 2
14 0 0 0 1 1
15 0 0 0 0 0
16 1 1 1 1 15
Pecacah diatas dapat mencacah mundur dari bilangan biner 1111 sampai dengan
0000 (atau 15 s/d 0).

D. LEMBAR PRAKTIKUM

LEMBAR KERJA PESERTA DIDIK


Judul: PENCACAH DENGAN BATAS HITUNGAN

BAHAN KERJA :

1. IC SN 7473 (dual JK FF with clear)


2. IC 74LS90
3. CLOCK
4. Resistor dan Indikator (LED)
ALAT KERJA

1. Papan percobaan
2. Power suplly +5V DC
3. Multimeter
4. Kabel penghubung.

32 | M o d u l P R E 2
KESELAMATAN KERJA

1. Selalu berhati-hati dalam membuat rangkaian, agar tidak terjadi kesalahan


hubungan.
2. Meneliti terlebih dahulu sebelum melakukan percobaan.
3. Menggunakan catu daya yang sesuai untuk setiap percobaan.
4. Menanyakan kepada instruktur bila mengalami kesulitan.
PETUNJUK UMUM

1. Menyiapkan alat dan bahan yang diperlukan.


2. Membuat rangkaian seperti pada gambar percobaan.
3. Bila dalam merangkai telah baik dn benar, melaporkan pada instruktur.
4. Menyalakan catu daya.
5. Memberikan pulsa-pulsa clock.
6. Memperhatikan dan mencatat hasilnya.
7. Melakukan percobaan sampai 2 atau 3 kali agar paham betul.
8. Bila telah selesai melakukan percobaan matikan catu daya.
9. Mengembalikan alat dan bahan ke tempat semula.
10. Membersihkan ruangan sekitar tempat percobaan.

LANGKAH KERJA

Percobaan I (Pencacah tak sinkron)

1. Pancacah Maju Tak Sinkron Modulo 4 (Free running)


a) Perhatikan secara seksama rangkaian dalam IC SN 7473, sehingga dapat
dikuasai benar fungsi masing-msing kakinya.
b) Rangkailah gambar seperti pada gambar berikut

R1 R2
470R

470R

D1 D2
LED-GREEN LED-GREEN

U1:A U1:B
7473 7473

14 12 7 9
J Q J Q
U1:A(CLK)
1 5
CLK CLK

3 13 10 8
K Q K Q
R

R
2

SW1

SW -SPDT

c) Hubungkan terminal clear masing-masing FF ke 0 Volt, agar semua Q = 0 (LED


padam), kemudian lepaskan kembali hubungan tersebut.
d) Berikan pulsa clock ke terminal Clock di FF A sesuai tabel berikut dan catat hasil
keluaran QA dn QB.

33 | M o d u l P R E 2
e) Setelah percobaan, matikan catu daya.
Out
Pulsa ke Desimal
B A
0
1
2
3
4
5
6
7
8

2. Pencacah Mundur Tak Sinkron.


a) Pindahkan hubungan terminal Clk FF dari QA ke QAnot dari gambar 1 diatas.
R1 R2
470R

470R

D1 D2
LED-GREEN LED-GREEN

U1:A U1:B
7473 7473

14 12 7 9
J Q J Q
U1:A(CLK)
1 5
CLK CLK

3 13 10 8
K Q K Q
R

R
2

SW1

SW -SPDT

b) Hidupkan rangkaian dan resetlah terlebih dahulu dengan menghubungkan


semua terminal clear ke 0 V.
c) Masukkan pulsa clock dan catat hasilnya seperti pada tabel 1.
Out
Pulsa ke Desimal
B A
0
1
2
3
4
5
6
7
8

3. Pencacah Maju Tak Sinkron (Self Stopping)


Berhenti pada 11 (biner) = 3 (decimal)

a) Buatlah rangkaian seperti gambar berikut.

R1 R2
470R

470R

D1 D2
LED-GREEN LED-GREEN

U1:A U1:B
7473 7473

14 12 7 9
J Q J Q
U1:A(CLK)
1 5
CLK CLK

3 13 10 8
K Q K Q
R

R
2

SW1

SW -SPDT

34 | M o d u l P R E 2
b) Hidupkan rangkaian dan resetlah terlebih dahulu, kemudian berikan pulsa-pulsa
clock dan catat outputnya pada tabel dibawah.
Out
Pulsa ke Desimal
B A
0
1
2
3
4
5

Percobaan II (Pencacah sinkron)

1. Pencacah maju sinkron modulo 4 (free running)


a) Buatlah rangkaian seperti gambar berikut ini

b) Hidupkan rangkaian dan resetlah terlebih dahulu sehingga semua Q = 0.


c) Berikan pulsa-pulsa clock dan catat output QA dan QB seperi pada tabel
berikut
Out
Pulsa ke Desimal
B A
0
1
2
3
4
5

2. Pencacah mundur Sinkron


a) Rangkaian seperti pada gambar 3, hanya saja yang kita baca bukan Q
melainkan Qnot. Jadi pindahkan LED dari Q ke Qnot.
b) Hidupkan rangkaian dan resetlah terlebih dahulu.
c) Berikan pulsa-pulsa clock dan catat outputnya seperti pada tabel
Out
Pulsa ke Desimal
B A
0
1
2
3
4
5

35 | M o d u l P R E 2
3. Pencacah Maju Sinkron (Self Stopping)
Berhenti pada 11 (biner) = 3 (desimal)

a) Buatlah rangkaian seperti gambar berikut ini:

b) Hidupkan rangkaian dan resetlah terlebih dahulu, kemudian berikan pulsa-


pulsa clock dan catat outputnya seperti pada tabel

Out
Pulsa ke Desimal
B A
0
1
2
3
4
5

Percobaan III (Dekade up Counter)

Pencacah pembagi 10:

1. Perhatikan gambar layout dalamnya IC SN 7490.


2. Buat rangkaian dekade counter sebagai berikut:

D1 D4 D3 D2
LED-BLUE LED-BLUE LED-BLUE LED-BLUE

R1 R2 R3 R4
220R 220R 220R 220R

U1(CKA)
U1
14 12
CKA Q0
1 9
CKB Q1
8
Q2
11
Q3
2
R0(1)
3
R0(2)
6
R9(1)
7
R9(2)

7490

3. Sebelum mulai mencacah resetlah terlebih dahulu dengan cara


menghubungkan terminal Ro (1) DAN Ro (2) satu atau kedua-duanya ke Vcc
(+5 V ), setelah itu kembalikan lagi ke Ground ( 0 V )

36 | M o d u l P R E 2
4. Berikan pulsa-pulsa clock dan catat output QA, QB, QC, QD kedalam tabel
berikut:
Out
Pulsa ke Desimal
D B C A
0
1
2
3
4
5
6
7
8
9
10

5. Buatlah Tabel pengamatan dari masing-masing percobaan yang saudara


lakukan.
6. Buatlah kesimpulan dari masing-masing percobaan yang saudara lakukan.
7. Pada percobaan III, jika kita berikan logic “1” ke terminal RO (1) dan Ro (2)
sementara itu kita berikan pulsa-pulsa clock terus menerus, bagaimana
kondisi outputnya?
8. Pada percobaan ke III, pada pulsa keberapa sehingga output QA = 0, QB = 1,
QC = 1, QD = 0?
Percobaan IV (Dekade up Counter)
a. Buatlah rangkaian seperti di bawah ini
R1
U2(CKA)
U2 R2
U1
14 12
CKA Q0 7 13
1 9 A QA R3
CKB Q1 1 12
8 B QB
Q2 2 11
11 C QC R4
Q3 6 10
2 D QD
R0(1) 4 9
3 BI/RBO QE R5
R0(2) 5 15
6 RBI QF
R9(1) 3 14
7 LT QG R6
R9(2)
74LS47
7490 R7

330

b. Amati 7 segmen, apa yang bias kamu simpulkan

D. LEMBAR EVALUASI

1. Sebutkan 4 macam karakteristik dari rangkaian counter

37 | M o d u l P R E 2
PROGRAMMABLE LOGIC DEVICE (PLD)

URAIAN MATERI

Programmable Logic Devices (PLDs) diperkenalkan pada pertengahan tahun 1970-an.


Konsep dasar dari PLD sendiri adalah bagaimana membuat sebuah sirkuit logika
kombinasional yang bersifat programmable (mampu diprogram). Perlu diingat, sirkuit
kombinasional merupakan sirkuit yang di dalamnya tidak memiliki elemen memori. Hal
ini berbeda dengan microprocessor yang mampu menjalankan sebuah program, namun
nantinya mempengaruhi perangkat keras yang telah sudah ditentukan terlebih dahulu.
Sedangkan kemampuan pemrograman PLD sudah direncanakan pada tingkat
perangkat keras. Dengan kata lain, PLD adalah sebuah chip yang memiliki tujuan
utama agar nantinya mampu mengatur perangkat keras sedemikain rupa sesuai
dengan speseifikasinya.

Programmable Logic Devices

Generasi PLD yang pertama dikenal dengan nama PAL (Programmable Array Logic)
atau PLA (Progrramable Logic Array), tergantung pada bentuk skema
pemrogramannya. PAL/PLA biasanya hanya menggunakan gerbang logika (tidak ada
flip flop), serta hanya memperbolehkan implementasi dari sebuah sirkuit kombinasional
saja. Untuk menyelesaikan masalah ini, maka dibuatlah PLD yang telah memiliki
sebuah flip-flop pada tiap output sirkuitnya. Dengan demikian, fungsi sekuensial
sederhana dapat diimplementasikan dengan baik (bukan lagi hanya funsi
kombinasional saja).
Selanjutnya, pada awal tahun 1980-an, tambahan untaian logika ditambahkan pada
tiap-tiap output PLD. Output baru tersebut diberi nama Macrocell yang diisi flip-flop,
gerbang logika dan multiplekser. Selain itu, Macrocell sendiri juga
bersifat programmable. Apalagi pada cell tersebut disediakan sinyal feedback yang
38 | M o d u l P R E 2
berasal dari output sirkuit ke progrramable array. Sinyal tersebut nantinya akan
memberikan PLD tingkat fleksibilitas yang lebih tinggi. Struktur baru dari PLD inilah
yang kemudian secara umum diberi nama PAL (GAL). Arsitektur yang serupa juga
dikanal dengan sebutan PALCE (PAL CMOS Electrically erasable/programmable).
Semuanya (baik PAL, PLA, PLD, maupun GAL/PALCE) secara umum kini lazim disebut
sebagai SPLDs(Simple PLDs)

Macrocell

Berikutnya, beberapa perlangkapan GAL dibuat pada chip yang sama dengan
menggunakan penjaluran (routing) yang lebih canggih, menggunakan teknologi silikon
yang lebih rumit serta beberapa tambahan yang menjadi ciri khas, seperti dukungan
JTAG, dan antarmuka untuk beberapa standar logika. Pendekatan ini kemudian dikenal
dengan nama CPLD (Complex PLD). CPLD saat ini lebih tekenal karena kepadatan
(density) yang tinggi, hasil yang memuaskan, dan biaya yang cukup rendah (CPLD
dapat dibeli dengan harga kisaran 1 dolar saja).

CPLD

39 | M o d u l P R E 2
Akhirnya, pada pertengahan 1980-an, FPGA(Field Proframmable Gate Arrays) mulai
diperkenalkan. FPGA berbeda dari CPLDs dari segi arsitektur, teknologi, ciri khas serta
dari segi biaya. FPGA utamanya ditujukan untuk implementasi yang membutuhkan
ukuran besar besar, serta untuk sirkuit yang memiliki kemampuan tinggi.

Dari penjelasan singkat di atas, dapat disingkat sejarah evolusi PLD pada tabel berikut :

Perlu diingat, semua jenis PLD (baik simpel atau kompleks) bersifat non-volative.
Mereka semua bersifat OTP(One-time programmable) atau hanya sekali pemrograman
saja. PLD dapat bersifat reprogrammable (dapat diprogram ulang) dengan
menggunakan EEPROM atau Flash memory (pada umumnya, sekarang menggunakan
flash memory). Di sisi lain, FPGA bersifat volatile sehingga digunakan SRAM untuk
menyimpan koneksi. Selain itu, dibutuhkan konfigurasi ROM untuk mengisi koneksi
antara satu dengan yang lain saat dihidupkan daya listrik.

40 | M o d u l P R E 2

Anda mungkin juga menyukai