Anda di halaman 1dari 6

MODUL IV MULTIPLEXER DAN DEMULTIPLEXER

FOTO
Dika Satria (2110631160007)
Asisten: Laila Aida Fatimah, Damar Adzani Susanto, Ananda Dirgari Effendi
Tanggal Percobaan: 21/11/2022
TLE61617-SISTEM DIGITAL
Laboratorium Dasar Teknik Elektro – Fakultas Teknik Unsika

Abstrak
2. STUDI PUSTAKA
Dalam dunia ilmu komputer kita pasti pernah
mendengar istilah multiplexer. Multiplexer 2.1 SOFTWARE QUARTUS II
merupakan suatu rangkaian yang memiliki banyak
masukan tetapi hanya satu keluaran menggunakan
sinyal kendali, multiplexer dapat mengatur bagian
yang akan diaktifkan atau dipilih [1]. Kata
Multiplexer memiliki kanal input lebih besar dan 1
kanal output dan jumlah selektor dapat dilihat dari
banyaknya input. Sedangkan demultiplexer
merupakan kebalikan dari multiplexer, yaitu suatu
rangkaian yang memiliki satu masukan tapi Gambar 2.1 Software Altera Quartus II
memiliki banyak keluaran. Demultiplexer memiliki
Quartus II merupakan salah satu jenis
satu kanal input dan didistribusikan ke banyak
CAD (Computer Aided Design) yang mendukung
output yang dikendalikan oleh kombinasi biner [1].
bermacam- macam cara pembuatan atau penulisan
Pada praktikum keempat memabahas desain rangkaian digital, salah satunya adalah
beberapa persoalan percobaan 1 sampai 4 dengan VHDL [3]
harapan praktikan dapat Praktikan mengenal dan
Quartus II biasanya digunakan untuk
memahami prinsip kerja rangkaian Multiplexer
membuat simulasi rangkaian digital, misalnya
dan Demultiplexer. Selain itu, praktikan dapat
membuat rangkaian digital gerbang sederhana.
menggunakan rangkaian Multiplexer. Selain itu, quartus ini juga dapat melakukan
kunci: Multiplexer, Demultiplexer, Altera DE1. analysis dan synthesis untuk desain HDL,
Compling desain, analisis diagram pewaktuan, dan
1. PENDAHULUAN pengetesan pada rangkaian yang kita buat [3]
Dalam dunia ilmu komputer kita pasti pernah
2.2 ALTERA DE1
mendengar istilah multiplexer. Multiplexer
merupakan suatu rangkaian yang memiliki banyak
masukan tetapi hanya satu keluaran menggunakan
sinyal kendali, multiplexer dapat mengatur bagian
yang akan diaktifkan atau dipilih [1].
Gerbang logika beroperasi berdasarkan sistem
bilangan biner yaitu bilangan yang hanya memiliki
2 kode simbol yakni 0 dan 1 dengan menggunakan
teori aljabar boolean. Gerbang logikayang
diterapkan dalam sistem elektronika digital pada
dasarnya menggunakan komponen-komponen Gambar 2.2 Altera DE1
elektronika seperti IC, Dioda, Transistor, Relay,
Optik maupun elemen-elemen mekanikal. [2] Altera DE1 merupakan board untuk
pengebangan dan pendidikan yang menyediakan
Pada praktikum modul ketiga ini, praktikan board yang ideal untuk pembuatan prototipe
akan membahas tentang rangkaian universal serta desain tingkat lanjut dalam multimedia,
menyusun rangkaian universal tersebut untuk penyimpanan, dan jaringan. Altera DE1
mendapatkan hasil keluaran. menggunakan teknologi tercanggih di perangkat

Laporan Praktikum - Laboratorium Dasar Teknik Elektro – FT UNSIKA 1


keras dan alat CAD untuk memaparkan desainer
ke berbagai topik [4]
Altera DE1 memiliki komponen diantaranya
altera Nios II Processor, Memori untuk program
dan penyimpanan data, port paralel yang
terhubung ke saklar dan lampu, modul pengatur
waktu, dan port serial [4].

2.3 GERBANG UNIVERSAL


Gerbang universal adalah salah satu gerbang
dasar yang dirangkai sehingga menghasilkan Gambar 2.5 NAND Gate sebagai NOR Gate
output yang sama dengan gerbang dasar lainnya.
Adapun gerbang universal tersebut adlah NAND NOR Gate dapat dibuat dengan menggunakan
Gate dan NOR Gate [5] Nand Gate (gambar 2.5). NOR gate adalah
gabungan dari rangkaian NOT gate yang dipasang
NAND Gate sebagai OR Gate pada bagian output rangkaian OR Gate. Output
dari OR gateakan logic 1 jika dan hanya jika semua
inputnya berada pada keadaan 0. Dan outputnya 0
apabila salah satu atau semua inputnya berada
pada keadaan logik 1 [5].
NAND Gate sebagai AND Gate

Gambar 2.3 NAND Gate sebagai OR Gate

OR Gate dapat dibuat dengan


menggunakan NAND Gate (Gambar 2.3). Output
dari suatu rangkaian OR Gate akan berada pada
logik 0 jika dan hanya jika semua inputnya pada
keadaan 0.Dan output akan berada pada keadaan
logik 1 apabila salah satu inputnya atau semuanya
pada keadaan logik 1 [5].
Gambar 2.6 NAND Gate sebagai AND Gate

NAND Gate sebagai NOT Gate AND Gate dapat dibuat dengan menggunakn
NAND Gate (Gambar 2.6). Output dari suatu
rangkaian AND Gate akan berada pada keadaan
logik 1 jika dan hanya jika semau inputnya pada
keadaan logik 1. Dan output akan berada pada
keadaan logik 0 apabila salah satu inputnya atau
semuanya pada keadaan logik 0 [5].

2.4 MULTIPLEXER
Multiplexer adalah rangkaian logika
Gambar 2.4 NAND Gate sebagai NOT Gate
kombinasional yang memilih salah satu dari
beberapa input data yang ada dan meneruskannya
NOT Gate dapat dibuat denga sebagai output. Input yang diberikan ke
menggunakan NAND Gate (Gambar 2.4). Bila multiplexer dapat berupa input analog ataupun
input diberi nilai logik 1 maka outputnya menjadi digital. Multiplexer melakukan operasi yang mirip
logik 0 begitu juga sebaliknya [5]. seperti switch. Oleh karena itu, kita dapat
NAND Gate sebagai NOR Gate menyebutnya sebagai kombinasional switch [6].

Laporan Praktikum - Laboratorium Dasar Teknik Elektro – FT UNSIKA 2


3. METODOLOGI

Gambar 3.1 Metodologi Laporan

Gambar 2.7 Rangkaian umum multiplexer • Start

Gambar 2.7 merupakan rangkaian umum untuk Pada tahap ini praktikan memulai praktikum
2n : 1 rangkaian mux. Multiplexer ini memiliki 2n Mulai dari menyiapkan folder untuk
input data (D, D1, D2, … D2n-1), n input seleksi (S, menyimpan file, mempersiapkan komputer,
S1,… Sn), 1 input “enable” (E) dan satu output (Y) Modul praktikum dan software quartus II
[6]. untuk melakukan percobaan.
• Percobaan 1-4
2.5 DEMULTIPLEXER
Pada tahap percobaan praktikan melakukan
Demultiplexer adalah perangkat yang percobaan mengikuti Langkah-langkah yang
mengambil sinyal input yang tunggal yang ada di modul mulai dari percobaan 1 sampai
memilih salah satu dari banyak output yang di data percobaan 4.
baris yang berhubungan ke input tunggal
multimplexer. Satu multiplexer yang banyak • Altera DE1
dipakai dengan demultiplexer untuk Pada percobaan ini hasil dari percobaan 1-6
melengkapkan dan di ujung penerima. Bentuk akan dihubungkan ke Altera DE1 sesuai dengan
multiplexer elektronik yang bisa dianggap sebagai pin planer, SW4, SW5, dan lainnya yang sudah
beberapa masukan tunggal output switch yang ditentukan oleh modul.
demultiplexer sebagai bentuk masukan tunggak ,
ganda output switch [7]. • Selesai
Pada tahap ini semua hasil pekerjaan
diselesaikan, praktikan merapikan alat tulis dan
peralatan yang telah digunakan sebelumnya.

4. HASIL DAN ANALISIS


Bagian ini berisi data hasil percobaan 1 sampai 4 :

4.1 PERCOBAAN 1

Gambar 2.8 Rangkaian umum Demultiplexer

Fungsi Demultiplexer adalah untuk


mengganti satu jalur input data umum ke salah
satu dari 4 jalur data output A ke D dalam contoh
kami di atas. Seperti multiplexer, sakelar solid state
dipilih oleh kode alamat input biner pada pin pilih
output “a” dan “b” seperti yang ditunjukkan [7].
Gambar 4.1 Hasil rangkaian percobaan 1

Laporan Praktikum - Laboratorium Dasar Teknik Elektro – FT UNSIKA 3


0 1 X 0 X X 0

0 1 X 1 0 X 1

1 0 X X 1 X 0

1 0 X X X 0 1

1 1 X X X 1 0

Gambar 4.2 Waveform Percobaan 1 1 1 X X X X 1

pada table kebenaran diatas hasil percobaan


menggunakan gambar rangkaian multiplexer jika
S1 dan S0 bernilai 0 maka akan menuju inputan D0,
jika S1 dan S0 bernilai 1 dan 0 maka akan menuju
inputan D1, dan jika S1 dan S0 bernilai 1 dan 1
maka akan menuju inputan D3.

4.2 PERCOBAAN 2

Gambar 4.3 Pin planer percobaan 1

Gambar 4.5 Hasil percobaan 2

Gambar 4.4 Rangkaian multiplexer IC74153 Percobaan 1

Analisis :
Pada percobaan pertama, praktikan
membuat rangkaian yang terdiri dari komponen 6
input, 2 gerbang Not, 4 gerbang And, 1 gerbang Or,
dan 1 output. Setelah tersusun kemudian di
compilation, lalu praktikan membuat gelombang
Gambar 4.6 Waveform Percobaan 2
waveform dengan nilai yang telah ditentukan pada
modul , timing simulasi akan muncul ketika di run.
Lalu setelah itu praktikan membuat pin planner
dengan menggunakan pin yang sudah tersedia
pada modul. Setelah itu compile program dengan
altera.
Tabel 4.1 Tabel kebenaran percobaan 1

S1 S0 DO D1 D2 D3 X
Gambar 4.7 Rangkaian multiplexer 8 ke 1 IC 74115
0 0 1 X X X 0
Analisis :
0 0 0 X X X 1 Pada percobaan kedua, praktikan membuat
rangkaian yang terdiri dari komponen 8 input, 5 gerbang
NOT, 24 gerbang Nand, 1 gerbang Or, dan 2 output,
Laporan Praktikum - Laboratorium Dasar Teknik Elektro – FT UNSIKA 4
setelah rangkaian tersusun maka langkah berikutnya sama Gambar 4.10 Demutipelxer 1 ke 4 IC74139
seperti percobaan pertama dengan memasukan nilai yang
Analisis :
telah ditentukan pada modul. Pada percobaan ketiga, praktikan membuat
Tabel 4.2 Tabel kebenaran percobaan 2 rangkaian yang terdiri dari komponen 3 input, 2 gerbang
NOT, 4 gerbang And, dan 4 output. Setelah rangkaian
tersusun maka langkah berikutnya sama seperti percobaan
sebelumnya dengan memasukan nilai yang telah
ditentukan pada modul.
Tabel 4.3 Tabel kebenaran percobaan 3

F S1 S0 A B C D

0 0 0 0 X X X

1 0 0 1 X X X

0 0 1 X 0 X X

1 0 1 X 1 X X

0 1 0 X X 0 X

1 1 0 X X 1 X
4.3 PERCOBAAN 3
0 1 1 X X X 0

1 1 1 X X X 1

pada table kebenaran diatas hasil percobaan


menggunakan gambar rangkaian demultiplexer
jika S1 dan S0 bernilai 0 maka akan menuju inputan
A dan jika nilai inputan A 0 maka hasil output
mengeluarkan hasil 0, jika nilai inputan A 1 maka
Gambar 4.8 Rangkaian percobaan 3
outputnya mengeluarkan hasil 1. Jika S1 dan S0
bernilai 1 dan 0 maka akan menuju inputan B
dengan nilai B=1 maka mengeluarkan output 1 dan
nilai B=0 maka hasil outputnya 0. Jika S1 dan S0
bernilai 1 dan 1 maka akan menuju inputan C
dengan nilai C=1 maka mengeluarkan output 1 dan
nilai C=0 maka hasil outputnya 0.

4.4 PERCOBAAN 4

Gambar 4.9 Waveform Percobaan 3

Gambar 4.11 Rangkaian Percobaan 4

Laporan Praktikum - Laboratorium Dasar Teknik Elektro – FT UNSIKA 5


DAFTAR PUSTAKA
[1] Dzale. (2019, 6 mei). Sekilas tentang FPGA.
Diakses dari :Apa itu FPGA dan
Apa Contoh Pengaplikasiannya? |
ndoWare.
[2] None.(2020). Instalasi Altera Quartus II dan
ModelSim for Altera, Vivado, Xilinx
SDK, Digilent Board Files.
Microcontroller Labolatory 2022.
Diakses 19 Oktober 2022
Gambar 4.12 Waveform Percobaan 4 tersedia :(https://dennydarlis.staff.t
elkomuniversity.ac.id/files/2020/0
1/Modul-1-TekDig-2020.pdf
[3] W, Susilo. (2009). Tutorial Desain VHDL
menggunakan Software Quartus II.
(Labolatorium otomasi industri dan
sistem embedded, Universitas
surabaya,2009. Tersedia :(13)
Gambar 4.13 Rangkaian demultiplexer percobaan 4 Tutorial Desain VHDL
menggunakan Software Quartus II
Analisis : Oleh | Susilo Wibowo -
Pada percobaan keempat praktikan membuat
Academia.edu.
rangkaian yang terdiri dari komponen 4 input, 3
gerbang NOT, 8 Gerbang OR, dan 8 output. Setelah [4] Manual Book Altera DE1 Basic Computer.
rangkaian tersusun maka langkah berikutnya sama Diakses pada :
seperti percobaan sebelumnya dengan memasukan http://wwwug.eecg.utoronto.ca/m
nilai yang telah ditentukan pada modul. sl/manuals/DE1_Basic_Computer.
Tabel 4.4 Tabel kebenaran percobaan 4 pdf.
[5] P, Ikhsan (2018). Perangkaian Gerbang Logika
dengan menggunakan MATLAB
(SIMULINK). JURTEKSI (Jurnal
Teknologi dan sistem informasi).
Diakses pada 20 Oktober
2022.Tersedia :https://jurnal.stmikr
oyal.ac.id/index.php/jurteksi/articl
e/download/300/230.
[6] None. 2021. Multiplexer Adalah: Pengertian,
5. KESIMPULAN Jenis Serta Aplikasinya [Lengkap].
Tersedia:Multiplexer Adalah:
Dari semua percobaan yang telah dilakukan Pengertian, Jenis Serta Aplikasinya
dalam modul 4 kali ini, praktikan diperkenalkan [Lengkap] (caramesin.com)
secara langsung terhadap rangkaian multiplexer
[7] Belajar elektronika. None. Rangkaian
dan multiplexer. Multiplexer menyeleksi data yang
demultiplexer. Tersedia:Rangkaian
masuk melalui input, kemudian memindahkannya
Demultiplexer - Belajar Elektronika
pada satu jalur output. Sedangkan Demultiplexer
(abdulelektro.blogspot.com)
kebalikan dari multiplexer. Dengan menggunakan
skema rangkaian yang telah disusun pada modul [8] Altera Corporation (2012).Manual Book Altera
yang sudah ada praktikan dapat DE1 User manual version 1.2.1.
mengimplementasikan fungsi dari rangkaian
[9] L, Ulinnuha.(2021).Modul Praktikum Sistem
multiplexer dan demultiplexer. Dengan adanya
digital. Labolatorium dasar teknik
praktikum modul 4 ini praktikan dapat mengenal
elektro, Universitas Singaperbangsa
dan memahami prinsip kerja rangkaian
Karawang.
Multiplexer dan Demultiplexer. Selain itu,
praktikan dapat menggunakan rangkaian
Multiplexer dan Demultiplexer dalam sistem
digital.

Laporan Praktikum - Laboratorium Dasar Teknik Elektro – FT UNSIKA 6

Anda mungkin juga menyukai