Anda di halaman 1dari 26

JURNAL PERCOBAAN III

FLIP-FLOP

SUBHAN FAHMI NASUTION


142411004

UNIVERSITAS SUMATERA UTARA


FAKULTAS MATEMATIKA DAN ILMU PENGETAHUAN ALAM
DEPARTEMEN FISIKA
PRODI D-III METROLOGI DAN INSTRUMENTASI
MEDAN
2015
LEMBAR PERSETUJUAN

Judul percobaan : FLIP-FLOP


Kategori : Jurnal Praktikum Sistem Digital
Nama : SUBHAN FAHMI NASUTION
Nomor Induk Mahasiswa : 142411004
Program Studi : D-III METROLOGI DAN INSTRUMENTASI
Departemen : Fisika
Fakultas : MATEMATIKA DAN ILMU PENGETAHUAN ALAM
(FMIPA)

Medan, 27 Maret 2015


Asisten, Praktikan,

ILHAM SYURYADI HARAHAP SUBHAN FAHMI NASUTION


110801022 142411004
BAB I
TUJUAN

1. Untuk menjelaskan perbedaan memori, set, reset, terlarang dan tidak berubah pada flip –
flop RS.
2. Untuk mengetahui jenis-jenis flip-flop beserta lambangnya.
3. Untuk mengetahui perbedaan memakai gerbang NAND dan AND.
4. Untuk mengetahui aplikasi dari flip-flop.

BAB II
LANDASAN TEORI
2.1 Pengertian Flip-Flop
Komponen Flip-flop merupakan suatu piranti atau rangkaian yang outputnya dapat
memiliki dua kondisi stabil berlainan pada saat yang sama. Biasanya komponen ini
dipergunakan sebagai elemen memori, tetapi dapat juga dipergunakan sebagai penjumlah dan
penggeser digit.
(Lukas Willa, 2007)
2.2 Rangkaian Flip – Flop
Rangkaian flip flop dapat mempertahankan suatu keadaan biner dalam waktu yang
tak terbatas sampai suatu sinyal masukan baru dating untuk mengubah keadaan itu. Perbedaan
utama di antara berbagai jenis flip – flop itu adalah banyaknya masukan yang dimiliki dan
perilaku bagaimana masukan itu mempengaruhi keadaan biner dalam flip flop tersebut.
Suatu rangkaian flip flop dapat disusun dengan dua gerbang NOR atau gerbang
NAND. Masing masing rangkaian membentuk suatu flip flop dasar yang merupakan dasar
pengembangan bagi jenis – jenis flip flop yang lain.
Hubungan silang dari keluaran salah satu gerbang ke masukan gerbang yang lain
merupakan suatu jalur umpan balik. Dengan alasan itu rangkaian tersebut dapat digolongkan
kepada rangkaian urutan tak-serempak. Masing – masing flip flop itu mempunyai dua
keluaran, Q dan Q’ dan dua masukan, set dan reset. Masukan set membuat flip flop menjadi
dalam keadaan set atau bernilai logika – 1 pada keluaran normalnya ( Q ), dan masukan reset
membuat flip flop menjadi dalam keadaan bebas ( clear ) atau mempunyai nilai logika -0 pada
keluaran normalnya. Jenis flip flop ini sering dikenal sebagai flip flop RS gandengan
langsung ( direct coupled RS flip flop ); R dan S merupakan huruf pertama nama
masukannya.
2.3 Flip – flop T
Nama flip flop T diambil dari sifatnya yang selalu berubah keadaan setiap ada sinyal
pemicu ( trigger ) pada masukannya. Input T merupakan satu-satunya masukan yang ada pada
flip flop jenis ini sedangkan keluarannya tetap dua, seperti semua flip flop pada umumnya.
Kalau keadaan keluaran flip flop 0, maka setelah adanya sinyal pemicu keadaan berikut
menjadi 1 dan bila keadaannya 1, maka setelah adanya pemicuaan keadaannya berubah
menjadi 0. Karena sifat ini sering juga flip flop ini disebut sebagai flip flop toggle ( berasal
dari dari scalar toggle / pasak ).
Flip-flop tipe T mempunyai satu masukan T (toggle) yang menyebabkan berubahnya
keadaan keluaran pada setiap pulsa masukan. Flip-flop tipe T dapat dibuat dengan
mengumpan balik Q ke Rdan dari Q’ ke S. dengan menganggap bahwa flip-flop di set dengan
Q = 1 dan Q’ = 0, maka S = 0 dan R = 1, karena umpan balik tersebut. Dengan masukan T
pada 0, kedua masukan pada G3 adalah 0, dan menghasilkan logika 1 pada keluaran. G4
mempunyai sebuah masukan pada logika 0 dan yang lain pada logika 1, dan menghasilkan
logika 1 pada keluaran. Jadi bentuk rangkaian T-FF bukanlah satu-satunya konfigurasi T-FF
yang dapat dibangun. Selama suatu konfigurasi dapat memenuhi table kebenaran T-FF , maka
rangkaian tersebut dapat disebut sebagai rangkaian T-FF. Pada kasus ini hanya dicontohkan
suatu rangkaian T-FF yang dibentuk dengan mengkonfigurasi kembali rangkaian D-FF yang
ditambahkan rangkaian kombinasional di bagian masukannya.

2.4 Flip – flop JK


Flip flop JK yang diberi nama berdasarkan nama masukannya, yaitu J dan K. Flip
flop ini mengatasi kelemahan flip flop RS, yang tidak mengizinkan pemberian masukan R=S=
1. Dengan men-AND-kan masukan dari luar dengan keluaran seperti dilakukan pada flip flop
T. Flip-Flop J-K juga merupakan Flip-Flop universal dan digunakan paling luas, memiliki
sifat dari Flip-Flop jenis lain. Masukan yang diberikan label J dan K merupakan masukan
data. Masukan yang diberi label CLK merupakan masukan detak. Keluaran Q dan 𝑄
merupakan keluaran komplementer biasa pada satu Flip-Flop. Flip-Flop J-K digunakan secara
luas dalam banyak rangkaian digital. Anda akan menggunakan Flip-Flop J-K, khususnya
dalam pencacah (counters). Pencacah dijumpai dalam hampir setiap sistem digital
2.5 Flip flop D
Nama flip flop ini berasal dari Delay. Flip flop ini mempunyai satu masukan, yaitu
D, jenis flip flop ini sangat banyak dipakai sebagai sel memori dalam computer. Pada
umumnya flip flop ini dilengkapi masukan penabuh. Keluaran flip flop D akan mengikuti
apapun keadaan D pada saat penabuh aktif, yaitu : Q+ = D.
Perubahan itu terjadi hanya apabila sinyal penabuh dibuat berlogika 1 ( CP = 1 ) dan
tentunya akan terjadi sesudah selang waktu tertentu, yaitu selama tundaan waktu pada flip
flop itu. Bila masukan D berubah selagi CP = 0, maka Q tidak akan terpengaruh . keadaan Q
selama CP = 0 adalah keadaan masukan D tepat sebelum CP berubah menjadi 0. Dikatakan
keadaan keluaran Q dipalang ( latched ) pada keadaan D saat perubahan CP dari aktif ke tak –
aktif.
Flip-Flop D hanya mempunyai satu masukan data (D) dan satu masukan detak
(CLK). Keluaran dari tabel Q dan 𝑄. Flip-Flop D sering disebut Flip-Flop tunda. Kata “tunda”
menggambarkan apa yang terjadi pada data, atau informasi pada masukan D. Data (0 atau 1)
pada masukan D ditunda 1 pulsa detak dari pemasukan sampai keluaran Q.
2.6 FLIP-FLOP R-S
Flip-flop R-S mempunyai dua masukan,yang diberi label S dan R.dua keluaran diberi
label Q dan Q’.pada flip-flop, keluaran selalu berlawanan,atau komplementer.dengan kata lain
bila keluaran Q =1,maka keluaran Q = 0,dan sebagaianya.huruf “S” dan “R” pada masukan
flip-flop R-S seringkali disebut sebagai masukan set dan reset.
2.7 Master-slave D Flip-Flop
Rangkaian :

Gambar 2.1 Rangkaian Master-Slave D Flip-Flop


Berdasarkan rangkaian di atas, D-FF pertama adalah master, dan akan dikendalikan
oleh nilai Clk = 1, sedangkan D-FF yang kedua adalah slave dengan pengendali pulas Clk = 0.
Cara kerja rangkaian ini adalah sebagai berikut :
Pada saat masukan Clock = 1, maka master akan membaca masukan D (data) dan akan
menyebabkan Qm = D. Pada saat yang bersamaan (Clock = 1) slave akan mempertahankan
state Qs yang terakhir, sehingga Qs tidak mengalami perubahan. Jika Clock berubah menjadi
0, maka state pada master akan tetap (Qm akan mempertahankan keluaran terakhir saat Clock
masih berharga 1), sedangkan slave akan mengalami perubahan sehingga pada saat Clock = 0
Qs, akan membaca nilai Qm (Qs = Qm). Sehingga dari perubahan nilai Clock, Qs sebagai
keluaran akhir dari rangkaian tersebut akan mengalami perubahan state jika Clock bernilai 0.
Jadi untuk masukan D yang sama, akan diperoleh bentuk keluaran di titik Qa, Qb, dan Qc
yang berbeda.
(http://ekoatmojo.blog.unsoed.ac.id/files/2011/06/bab-VII-FLIP-FLOP.pdf)
2.8 Pembentukan Flip flop dari Flip flop lain
Dari uraian subbab sebelumnya dapat dilihat bahwa dasar dari semua flip flop adalah
flip flop RS. Dalah prakteknya, ada kalanya perlu merealisasikan flip flop tertentu daripada
flip flop yang tersedia, misalnya flip flop yang dibutuhkan tidak tersedia atau dari serpih
(chip) flip flop yang digunakan masih ada sisa flip flop dari jenis lain yang belum termaafkan.
Sebagaimana diuraikan di depan flip flop D dapat dibangun dari flip flop JK dengan
memberikan komplemen J sebagai masukan bagi K. begitu juga flip flop T dapat di bentuk
dari flip flop JK dengan menggabungkan masukan J dan K sebagai masukan T.
Dalam analisis dan perancangan rangkaian logika berurut selalu dibutuhkan
persamaan karakteristik ( persamaan keadaan berikut ) dan persamaan masukan flip flop yang
digunakan . untuk memudahkan pengacuan dalam analisis dan perancangan rangkaian. Flip
flop induk – budak ( Master – Slave, MS ) tidak disertakan karena karakteristiknya sama saja
dengan flip flop JK.
Rangkaian yang dapat me-latch atau menyimpan data kondisi 1 atau 0 disebut flip-
flop dan tipe dari rangkaian ini disebut sequential logic karena ia dikontrol oleh suatu
rangkaian dan emngontrol rangkaian lainnya juga. Rangkaian paling sederhana dari
penyimpanan data ini adalah Set-Reset (SR) flip-flop. Rankaian ini juga dikenal sebagai
transparent latches karena output akan merespons secara cepat perubahan pada input akan
diingat atau di latch.
( Pernantin Tarigan . 2006)
2.9 Pewaktuan Flip-Flop

Parameter pewaktuan harus dipertimbangkan bila FF digunakan dalam untai. Beberapa


pewaktuan yang penting adalah sebagai berikut :
1. Waktu set-up,ts, interval waktu sebelum transisi aktif sinyal CLK yang mana masukan
sikron harus dipertahankan pada level yang sesuai. Manufacturer IC biasanya
menspesifikasinya dengan nilai minmum (mempunayi rentang nilai 5 – 50 ns) yang
dipertahankan dan bila tidak dipenuhi maka FF tidak menanggapi transisi clock.
2. Waktu Hold, tH, adalah interval waktu setelah transisi aktif sinyal clock yang mana
masukan sikron harus dipertahankan pada level yang sesuai. Manifecturer IC dengan nilai
minimum ( memillikirentang nilai 5 – 50 ms) yang dipertahankan, dan bila tidak dipenuhi
maka FF tidak terpicu dengan baik.
3. Tundapropagasi,tplH, bila sinyal yang digunakan untuk mengubah keadaan keluaran FF,
terdapat tunda dari saat sinyal diberikan hingga saat sinyal keluaran FF berubah. Tunda
yang sama juga terjadi pada FF dalam melengkapi masukan sinkron (PRESET dan
CLEAR).manufacturer biasanya mempunyai nilai maximum dari t PLH (tundaan dari
rendah ke tinggi) dan t PLH (tundaan dari tinggi ke rendah).
2.10 Masalah pewaktuan pada flip-flop
Pada banyak untai digital, keluaran FF yang dihubungkan langsung atau lewat gerbang
logika kemasukan FF lain, dan kedua FF dipicu dengan sinyal clock yang sama.dan hal ini
dapat menimbulkan suatu masalah, yang mana keluaran q1 dari FF pertama dihubungkan
kemasukan J dari FF kedua. Waktu Hold FF kedua (t H q2) lebih kecil dari pada waktu
propagasi FF pertama (t PHL q1). Maka FF kedua memberikan tanggapan ang benar karena
sebelum transisi clock menuju kearah negatif (TMN), masukan J2 K2 = 1dan 0 setelah TMN
keluaran q2 menjadi 1. Bila tidak demikian (t H q2 lebih besar dari pada t PHL q1), maka keluaran
FF kedua tidak dapat diprediksi.
2.11 Pemicu Flip-Flop
Kita telah mengelompokkan Flip-Flop menjadi sinkron atau asinkron berdasar
operasinya. Flip-Flop sinkron ialah semua yang mempunyai masukan detak. Kita dapatkan
bahwa Flip-Flop R-S yang berdetak, D, dan J-K beroperasi seirama dengan detak. Pada waktu
menggunakan manual data membuat, kita perhatikan bahwa banyak Flip-Flop sinkron juga
digolongkan sebagai terpacu sisi atau majikan/budak. Kelompok lain dari Flip-Flop yang
dipicu ialah jenis majikan(master) budak. Flip-Flop majikan/budak J-K menggunakan
keseluruhan pulsa(sisi positif dan sisi negatif) untuk memicu Flip-Flop.

2.12 Memori Satu Bit


Rangkaian dasar dari memori satu bit, atau latch terdiri dari dua buah gerbang NOT
(gerbang NAND masukan tunggal ) G1 dan G2, keluaran dari suatu gerbang diumpanbalikkan
ke masukan pada gerbang yang lain. Kombinasi umpan balik ini disebut flip-flop. Sifat yang
penting yang dimiliki flip-flop adalah hanya mempunyai dua keadaan stabil (Q = 1, Q’ = 0,
dan Q = 0, Q’ = 1) atau bisa disebut untai biner atau untai bistabil (bistable circuit). Selian itu,
kerena flip-flop menyimpan satu bit infoemasi (Q = 0 atau Q = 1), maka flip-flop disebut
sebagai satuan memori satu bit atau sel.lebih lanjut, karena informasi tersebut terkunci
didalamnya maka dalam hal ini flip-flop bertindak sebagai latch.
Gambar 2.2. Flip-flop dasar atau Latch
2.13 FLIP-FLOP S-R
Untai dasar pada gambar 2.2 tidak mempunyai fasilitas untuk mengatur agar keluaran
berada pada keadaan tertentu. Untuk mendapatkan fasilitas diatas, dua buah gerbang NAND
G3 dan G4 harus ditambahkan sehingga membentuk set-reset flip-flop (S-R) seperti pada
gambar 2.2. jika ingin menyimpan Q = 1, maka untai diset untuk membuat agar masukan S =
1 dan R = 0.
Jika S = 1, keluaran dari G3 adalah 0, sehingga Q = 1, (pada saat masukan gerbang
NAND rendah, keluarannya akan tinggi), selanjutnya akan memaksa salah satu masukan G2
berada pada logika 1. Dengan R = 0, keluaran G4 ada pada logika 1. Gerbang G2 sekarang
mempunyai dua masukan yang keduanya pada logika 1, dan menghasilkan keluaran Q’ = 0.
Sebaliknya, untuk menyimpan Q = 0 untai harus di reset dengan R = 1dan S = 0 yang akan
menyebabkan Q = 0 dan Q’ = 1. Flip-Flop RS menurut waktu, Suatu Flip-Flop dasar pada
dasarnya adalah suatu rangkaian urutan tak-serempak. Dengan menambah suatu gerbang ke
setiap masukan rangkaian dasar itu, Flip-Flop tersebut dapat diubah untuk menanggapi
masukan selama adanya suatu pulsa waktu. Keluaran kedua gerbang AND tersebut tetap 0
selama pulsa waktu (diberi lambang CP – clock pulse) sama dengan 0, tanpa memandang nilai
masuk S dan R nya. Bila pulsa waktu itu menjadi 1, informasi dari masukan S dan R diijinkan
untuk masuk ke Flip-Flop dasar tersebut. Keadaan set tercapai dengan S =1, R=0 dan CP = 1.
Dengan masukan R dan S yang keduanya sama dengan 1, adanya pulsa waktu akan
menyebabkan kedua keluaran Flip-Flop itu sesaat sama dengan 0. Bila pulsa waktu itu hilang,
keadaannya menjadi tak-tentu; dapat dalam keadaan set atau bebas, tergantung apakah
masukan set atau reset yang lebih lama sama dengan 1 sebelum berubah menjadi 0 pada akhir
pulsa waktu tersebut.
2.14 FLIP-FLOP S-R TERDETAK
Pada sistem berikutnya, flip-flop sering kali harus di pasang atau dipasang kembali
secara sinkron dengan unit lain yang sesuai dengan suatu detak. Untuk ini, digunakan untai
S-R terdetak (clocked/triggerd). Bila detak pada logika 0, yaitu antara pulsa-pulsa detak, G3
dan G4 akan disable dan keluaran yang tinggi tidak bergantung pada aras logika S dan R. jika
Q bernilai 1, keluaran akan tetap bernilai 1, dan sebaliknya. Dengan kata lain, keadaan
bistabil tidak akan berubah diantara dua pulsa detak; keadaan bistabil hanya bisa diubah jika
terdapat pulsa detak. Adanya detak (pulsa detak pada logika 1) flip-flop S-R akan berfungsi
secara normal.

(Ibrahim, KF. 1991)


BAB III
METODOLOGI PERCOBAAN

3.1. Peralatan Dan Komponen


3.1.1. Peralatan
1. Power suplay 5 volt DC (1 buah)
Berfungsi sebagai sumber tegangan.
2. Jumper (secukupnya)
Berfungsi sebagai penghubung casing yang ada di casing.
4. Jepit buaya (4 buah)
Berfungsi sebagai penjepit komponen suatu benda.
5. Saklar (3 bauh)
Berfungsi untuk memutuskan atau menyambungkan atau menyambungkan suatu
rangkaian.
6. Protoboard (1buah)
Berfungsi Untuk membuat rangkaian elektronik sementara dengan tujuan uji coba atau
prototype tanpa harus menyolder.

3.1.2. Komponen
1. IC 7400 (1 buah)
Berfungsi sebagai gerbang logika dasar NAND.
2. Resistor 330𝛺 (2 buah)
Berfungsi sebagai penghambat aliran arus listrik.
3. LED (2 buah)
Berfungsi sebagai sebagai indicator high dan low.
3.2 Prosedur Percobaan
3.2.1 Untuk rangkaian Flip-flop RS
1. Disiapkan semua peralatan dan komponen yang akan digunakan.
2. Dirangkai komponen seperti skematik rangkaian dibawah ini :
1
R 3 Q
2

5 6
S 4 𝑄

3. Dihubungkan kaki 1 dengan saklar 1 sebagai masukan R dan kaki 4 dengan saklar ke 2
sebagai masukan S.
4. Dihubungkan kaki 2 (masukan) dengan kaki 6 (keluaran)
5. Dihubungkan kaki 5 (masukan) dengan kaki 3 (keluaran)
6. Kaki 6 dihubungkan dengan LED 2 sebagai keluaran Q’ dan kaki 3 dengan LED 1
sebagai keluaran Q.
7. Kaki 7 sebagai ground dan dihubungkan dengan (-) PSA.
8. Kaki 14 sebagai Vcc dan dihubungkan ke (+) PSA.
9. Dihidupkan PSA 5 V.
10. Divariasikan masukan R dan S pada rangkaian mulai dari 00,01,10,11.
11. Diamati dan dicatat keluaran melalui LED.
12. Dimatikan PSA.

3.2.2 Untuk rangkaian Flip-flop Clocked RS


1. Dirangkai komponen seperti skematik rangkaian dibawah ini :

1
R 3 1
3
2 Q
CK 2
7400

7408
5
5
S 𝑄
6
6 4
4

2. Dihubungkan kaki 2 IC 7408 dengan kaki 4, dan buat sebagai masukan CLK, kaki 1
sebagai masukan R, dan kaki 2 sebagai masukan R, dan kaki 5 sebagi masukan S.
3. Kaki 3 (keluaran IC 7408) dihubungkan kaki 1 (masukan IC 7400)
4. Kaki 6 (keluaran IC 7408) dihubungkan dengan kaki 4 (masukan IC 7400)
5. Kaki 2 (masukan IC 7400) dihubungkan dengan kaki 6 (masukan IC 7400)
6. Kaki 5 (masukan IC 7400) dihubungkan dengan kaki 3 (masukan IC 7400)
7. Kemudian kaki 3 dihubungkan dengan LED 1 sebagai keluaran Q dan pada kaki 6
dengan LED 2 sebagai keluaran Q’
8. Kaki 7 sebagai ground dan dihubungkan ke (-) PSA
9. Kaki 14 sebagai Vcc dan dihubungkan ke (+) PSA
10. Dihidupkan PSA 5 V
11. Di set clocked menjadi 0 dan divariasikan masukan RS pada rangkaian mulai dari
00,01,10,11
12. Diamati dan dicatat keluaran melalui LED.
13. Di set clocked menjadi 1 dan divariasikan masukan RS pada rangkaian mulai dari
00,01,10,11
14. Diamati dan catat keluaran melalui LED
15. Dimatikan PSA.
BAB IV
DATA DAN ANALISA DATA

4.1 Data Percobaan


4.1.1 Tabel Rangkaian Flip-flop RS tanpa clocked
Masukan Keluaran
Keterangan
R S Q 𝑄

0 0 1 1 Terlarang

0 1 1 0 Set

1 0 0 1 Reset

1 1 0 1 Memori

4.1.2 Tabel Rangkaian Flip-flop RS dengan Clocked


Masukan Keluaran
Keterangan
R S Q 𝑄
0 0 1 1 Terlarang
0 1 1 1 Terlarang
1 0 1 1 Terlarang
1 1 1 1 Terlarang
0 0 1 1 Terlarang
0 1 1 0 Set
1 0 0 1 Reset
1 1 0 1 Memory

Medan, 24 Juni 2013


Asisten, Praktikan,

ILHAM SYURYADI HARAHAP SUBHAN FAHMI NASUTION


110801022 142411004
4.2 Analisa Data

1. Buatlah timing diagram untuk rangkaian yang dicobakan.


Penyelesaian:
a. Rangkaian flip-flop RS tanpa clocked
Masukan :

1
R 0

1
S
0

Keluaran :

1
Q 0

1
Q’ 0

b. Rangkaian flip-flop RS dengan clocked


Masukan :

1
R 0

1
S
0

1
C 0
Keluaran :

1
Q 0

1
Q’
0

2. Buatlah karateristik tiap rangkaian yang dicobakan berdasarkan data yang diperoleh!
Penyelesaian:
Karakteristik dari Flip – flop RS adalah flip – flop RS mempunyai dua masukan yang
diberi tabel S dan R yang mana S berasal dari kata set sedangkan R adalah Reset dan
juga dua untuk bagian keluaran yakni Q dan juga Q’ yang mana Q adalah keluaran
normal sedangkan Q’ adalah keluaran komplementer. Pada flip – flop untuk keluaran
selalu berlawanan yakni jika Q = 1 maka Q’ = 0 demikian sebaliknya ketika Q = 1 dan
Q’ = 0 kondisi set,dan sebaliknya Q = O dan Q’ = 1 kondisi reset, namun ketika Q dan
Q’ bernilai 1 keadaan ini disebut keadaan terlarang dengan Q+ = S + RQ dimana RS=0
yang dijelaskan pada tabel berikut :

Q Q+ R S
0 0 X 0
0 1 0 1
1 0 1 0
1 1 0 X

Ket: X dapat bernilai 1 maupun 0


1. Karakteristik rangkaian RS-C, kondisi pada RS tetap berlaku namun dengan
adanya faktor Ck yang jika dalam kondisi Ck=0 kondisi Q maupun Q’ tetap
bernilai 1 (tidak mengalami perubahan) dengan kata lain ketika C bernilai satu
(1) kondisi rangkaian kembali seperti rangkaian RS.
Set : Suatu kondisi dimana Q akan bernilai 1
Reset : Suatu kondisi dimana Q akan bernilai 0
3. Sebutkan aplikasi dari flip-flop dan jelaskan!

Penyelesaian:

a. Rangkaian lampu kamar otomatis (sebagai aplikasi flip-flop)


Menggunakan dua LDR yang ditempatkan satu demi satu (dipisahkan oleh jarak sekitar
setengah meter) sehingga mereka secara terpisah bisa mendeteksi  seseorang
masuk ke ruangan atau keluar dari ruangan. Output dari sensorLDR, setelah
pengolahan, digunakan dalam hubungannya dengan bicolour LED.
b. IC Timer 555
Memberi solusi praktis dan relatif murah untuk berbagai aplikasi elektronikyang
berkenaan dengan pewaktuan (timing). Terutama dua aplikasinya yang paling
populeradalah rangkaian pewaktu monostable dan astable. Komponen utama IC
timer 555 ini terdiri dari komparator dan flip-flop yang direalisasikan dengan
banyak transistor.IC Timer 555.
c. Counter
Sebagaimana counter adalah sistem yang digunakan untuk menghitung banyaknya
cacahan. Sehingga dikenal dengan istilah pencacah. Maksimal hitungan dari
counter akan sama dengan jumlah flip-flop yang digunakan. Hitungan maksimal
dinamakan modulo. Hubungan antara jumlah flip-flop yang digunakan dengan
modulo adalah 2n, dimana n adalah jumlah flip-flop yang digunakan.
d. Mesin Sekuensial Elektronis
Banyak untai sekuensial yang merupakan realisasi secara elektronis dari mesin
sekuensial. Beberapa diantaranya seperti sistem switching telepon, elevator
automatis, sistem kontrol lampu lalu lintas dan untai-untai elektronis dalam
kalkulator. Sebuah mesin sekuensial elektronis adalah sebuah peralatan yang
mampu menampilkan sekumpulan karakteristik berurutan. Untai ini merealisasikan
urutan sekuensial yang diperlukan dan diberikan. Karena keadaan berikutnya dan
keluaran dari sebuah mesin sekuensial tergantung pada keberadaan masa lalu dari
mesin, maka mesin sekuensial elektronis harus menggunakan peralatan yang
mempunyai kemampuan untuk “mengingat” tingkah laku sebelumnya dari mesin.
Oleh karena itu digunakanlah rangkaian R-S flip-flop yang merupakan bagian dasar
dari rangkaian pengingat.
e. Rangkaian Shift Register.
Register merupakan sekelompok flip-flop yang dapat menyimpan informasi biner
yang terdiri dari bit majemuk. Register dengan n flip-flop mampu menyimpan
sebesar n bit.
f. Digunakan pada Mikrokontroler, mikroprosesor dan komputer untuk menyimpan
(memori) data.
4.3 Gambar Percobaan
4.3.1 Flip-Flop RS

PLN
PSA Adujust
on 6 7,5
3

- +
off

R 330 Ω
R 330 Ω

LED 2
LED 1

14 13 12 11 10 9 8
Vcc

IC 7400
G nd

1 2 3 4 5 6 7

0 0
R 1 1
S
4.3.2 Flip-Flop RS-C

14 13 12 11 10 9 8 14 13 12 11 10 9 8
Vcc

Vcc
IC 74 08 IC 74 0 0

G nd

G nd
1 2 3 4 5 6 7 1 2 3 4 5 6 7

PLN

0 0 0
R 1 CK 1 S 1

P SA

P O WE R ON
S UP P L Y O FF
5 V
BAB V
KESIMPULAN DAN SARAN

5.1 Kesimpulan

1. Penjelasan memory set, reset, terlarang dan tidak berubah pada flip-flop RS:
- Memori adalah media penyimpanan data sementara atau setiap data yang di proses
akan di simpan pada media penyimpanan memori.
- Set adalah jika kondisi mengakibatkan keluaran bernilai logika positif (1), saat dipicu,
apapun kondisi sebelumnya.
- Reset adalah jika kondisi mengakibatkan keluaran bernilai logika negatif (0), saat
dipicu, apapun kondisi sebelumnya.
- Terlarang adalah memberikan R dan S keduanya tinggi pada saat yang sama
- Tidak berubah adalah masukan S yang tinggi mengeset keluaran Y ke 1.

2. Jenis-jenis Flip – flop :


a. Flip-flop RS
R

SET
CP S Q
CP

S R CLR Q

Rangkaian Lambang

b.Flip – flop jenis S-R berdetak

Dengan rangkaian dan lambangnya sebagai berikut :


G3
S S’ G1
Q S Q
Clock CK
(CK)
R Q’
R’ Q’
Lambang/simbol
R flip – flop S-R
G4 G2 Berdetak

Rangkaian Flip – flop S-R Berdetak


c. Flip-flop D
D
3 1 D
Q set Q

2 clr Q’
4 Q’

CP 5

Rangkaian lambang
d.Flip flop JK

J set
Q
K
Q

CP

J Q’ K reset Q’

Rangkaian Lambang
e. Flip flop T

T set
T Q
Q

CP

Q’ clr Q’

Rangkaian Lambang
3. Pada percobaan flip-flop, IC yang digunakan adalah IC 7400 yang memiliki gerbang
NAND dan IC 7408 yang memiliki gerbang AND yang memiliki karakteristik yang
berbeda.
4. Aplikasi dari flip-flop :
a. Mesin Sekuensial Elektronis, digunakan pada sistem switching telepon, elevator
automatis, sistem kontrol lampu lalu lintas dan untai-untai elektronis dalam kalkulator.
Yang menggunakan rangkaian R-S flip-flop yang merupakan bagian dasar dari rangkaian
pengingat.
b. Rangkaian Shift Register. Register merupakan sekelompok flip-flop yang dapat
menyimpan informasi biner.
c. Rangkaian Counter yang dipasang pada jam digital yang menggunakan flipflop untuk
pembagi frekuensi, penghitung naik, penghitung turun dan Modulus. Selain itu counter
juga digunakan pada alat pengukur jarak dan pengukur kecepatan.
d. Digunakan pada Mikrokontroler, mikroprosesor dan komputer untuk menyimpan
(memori) data.

5.2 Saran
1. Sebelum melaksanakan praktikum, agar mengetahui alat-alat dan komponen serta
fungsinya yang akan digunakan dalam praktikum ini dengan cara mempelajari buku
penuntun atau referensi lain.
2. Sebaiknya dalam melaksanakan praktikum, hendaknya berhati-hati dalam merangkai
komponennya. Jika menemui kesulitan tanyakan kepada asisten.
3. Sebaiknya praktikan dapat mematuhi peraturan-peraturan yang ada di dalam
laboratorium.
DAFTAR PUSTAKA

Ibrahim, KF. 1991. Teknik Digital. Yogyakarta : ANDI


Halaman : 73 – 79
Tarigan Pernantin. 2006. “Rangkaian Logika Digital”. Medan: USU press
Halaman : 96 – 99
Willa, Lukas. 2007. Teknik Digital, Mikroprosesor,dan Mikrokomputer. Bandung:
INFORMATIKA
Halaman : 27-33
http://ekoatmojo.blog.unsoed.ac.id/files/2011/06/bab-VII-FLIP-FLOP.pdf
Diakses Pada : 26 Maret 2015
Pukul : 22.39 WIB.
Nama : SUBHAN FAHMI NASUTION
Nim : 142411004
Judul Percobaan : FLIP-FLOP
Tugas persiapan
SOAL:

1. Jelaskan mengapa flip-flop termasuk system digital?


2. Sebutkan dan jelaskan lambang dari flip-flop?
3. Jelaskan penggunaan flip-flop dalam kehidupan sehari-hari?
4. Jelaskan arti memori set, reset, terlarang dan tidak berubah?

Jawab :

1. Flip-flop termasuk system digital karena flip-flop merupakan rangkaian pengancing


menggunakan komponen diskrit, pengancing menggunakan komponen digital, sampai
terbentuknya rangkaian flip-flop atau sebuah piranti yang dapat menunjukkan dua
keadaan stabil yang ber-beda disebut Multivibrator Bistabil. Dinamakan flip-flop, karena
dua buah keluarannya selalu dalam keadaan yang berlawanan, yaitu keadaan flip (level
satu) untuk keadaan yang satu, dan keadaan flop (level nol) untuk keadaan yang lainnya
atau sebalikya. Misalnya, keluaran rangkaian dalam keadaan stabil mantap pada Q=1 dan
Q’=0, kedaan ini akan tetap demikian, sampai ada masukan tertentu yang dapat mengubah
keluaran berubah menuju kestabilan yang lain yaitu keadaan stabil mantap Q=0 dan Q’=1.
Piranti ini dapat dipergunakan sebagai elemen memori dalam sistem biner.

2. Lambang dari Flip-flop :


1. Flip-flop S-R

Gambar simbol flip-flop S-R


R dan S keduanya rendah berarti keluaran y tetap berada pada keadaan terakhirnya
secara tak terbatas akibat adanya aksi penggrendelan internal. Masukan S yang tinggi
mengeset keluaran y ke 1, kecuali jika keluaran ini memang telah berada pada keadaan tinggi.
Dalam hal ini keluaran tidak berubah, walaupun masukan S kembali ke keadaan rendah.
Masukan R yang tinggi mereset keluaran y ke 0, kecuali jika keluaran ini memang telah
rendah. Keluaran y selanjutnya tetap pada keadaan rendah, walaupun masukan R kembali ke
keadaan rendah. Memberikan R dan S keduanya tinggi pada saat yang sama adalah terlarang
karena merupakan pertentangan (Kondisi ini mengakibatkan masalah pacu, yang akan dibahas
kemudian).
2. Simbol Flip-flop D

Gambar Simbol Flip-flop D

S-R terdetak dapat dimodifikasi untuk menambahkan tunda satu bit pada sebuah jalur
data masukan D. Sebuah pembalik ditambahkan pada masukan R sehingga masukan R
merupakan komplemen dari masukan S. Dalam keadaan ini, flip-flop selalu berada pada
keadaan D = 1 (set) atau D = 0 (reset). Pada dasarnya untai adalah sebuah flip-flop S-R
dengan keadaan S = R = 1 yang dihilangkan, karena keadaan ini tidak akan pernah terjadi
lagi.
3. Simbol Flip-flop J-K

Gambar Simbol Flip-flop J-K


Penjelasan :
a. J=0 dan K=0. Masukan J dan K ini melarang (disable) kedua gerbang AND sehingga
pulsa clock tidak mempengaruhi keadaan FF. maka Q mempertahankan nilai
terakhirnya.
b. J=0 dan K=1. Gerbang AND yang atas dilarang dan gerbang AND yang dibawah
dibolehkan (enabled) bila Q = 1. Sehingga transisi menuju negatif dari clock akan
mereset FF bila sebelumnya berkeadaan set.
c. J=1 dan K=0. Gerbang AND yang atas dibolehkan bila Q’ = 1, dan gerbang AND
yang bawah dilarang. Sehingga transisi menuju negatif dari clock akan mereset FF bila
sebelumnya berkeadaan reset.
d. J=1 dan K=1. Bila Q = 0 maka gerbang AND yang bawah dilarang tetapi gerbang
AND yang atas dibolehkan. Transisi menuju negatif dari clock akan menset FF (Q
berubah menjadi 1). Tapi bila Q = 1 maka gerbang AND yang bawah dibolehkan,
sehingga transisi negatif dari clock akan menset FF (Q berubah menjadi 0). Dengan
kata lain bila J dan K tinggi maka transisi negatif dari clock akan mengubah keadaan
FF atau dikatakan terjadi toggle.

3. Penggunaan flip-flop dalam kehidupan sehari-hari yaitu Aplikasi flip-flop adalah pada
lampu flip-flop, jam digital seven segmen, running teks, dan lampu lalu lintas.

a. IC Timer 555
Memberi solusi praktis dan relatif murah untuk berbagai aplikasi elektronikyang
berkenaan dengan pewaktuan (timing). Terutama dua aplikasinya yang paling
populeradalah rangkaian pewaktu monostable dan astable. Komponen utama IC timer 555
ini terdiri dari komparator dan flip-flop yang direalisasikan dengan banyak transistor.IC
Timer 555.

b. Rangkaian lampu kamar otomatis (sebagai aplikasi flip-flop)


Menggunakan dua LDR yang ditempatkan satu demi satu(dipisahkan oleh jarak sekitar
setengah meter) sehingga mereka secara terpisah bisamendeteksi seseorang masuk ke
ruangan atau keluar dari ruangan. Output dari sensorLDR, setelah pengolahan, digunakan
dalam hubungannya dengan bicolour LED.

4. Arti memori set, reset, terlarang dan tidak berubah :

a. Memori adalah media penyimpanan data sementara atau setiap data yang di proses
akan di simpan pada media penyimpanan memori.
b. Set adalah jika kondisi mengakibatkan keluaran bernilai logika positif (1), saat dipicu,
apapun kondisi sebelumnya.
c. Reset adalah jika kondisi mengakibatkan keluaran bernilai logika negatif (0), saat
dipicu, apapun kondisi sebelumnya.
d. Terlarang adalah memberikan R dan S keduanya tinggi pada saat yang sama
e. Tidak berubah adalah masukan S yang tinggi mengeset keluaran Y ke 1.
RESPONSI
NAMA : SUBHAN FAHMI NASUTION
NIM : 142411004
Percobaan : Flip-flop
Prodi : D-III Metrologi dan Instrumentasi

Soal :
1. Jelaskan pengertian flip-flop, menurut Dila Ajeng Puspitasari!
2. Jelaskan apa yang dimaksud dengan IC 7400 dan IC 7408, menurut Subhan Fahmi
Nasution!
3. Jelaskan pengertian terlarang,set,reset,dan memori, menurut Dewi Rezki!
4. Sebutkan aplikasi flip-flop,menurut Ratu Auliya Ahda Tanjung!

Jawab :
1. Flip-flop merupakan sel biner yang mampu menyimpan data 1 bit, sehingga sel ini
dinamakan pula memori 1 bit.
2. IC 7400
Berfungsi sebagai gerbang logika dasar NAND.
IC 7408
Berfungsi sebagai gerbang logika dasar AND dengan 2 masukan 1 keluaran dan
memiliki 14 kaki.

3. Memori adalah media penyimpanan data sementara atau setiap data yang di proses
akan di simpan pada media penyimpanan memori.
Set adalah jika kondisi mengakibatkan keluaran bernilai logika positif (1), saat dipicu,
apapun kondisi sebelumnya.
Reset adalah jika kondisi mengakibatkan keluaran bernilai logika negatif (0), saat
dipicu, apapun kondisi sebelumnya.
Terlarang adalah memberikan R dan S keduanya tinggi pada saat yang sama.
4. Aplikasi Flip-flop, yaitu diantaranya:
- Running Text,
- LED Seven Segmen,
- Jam digital

Anda mungkin juga menyukai