Anda di halaman 1dari 43

PRAKTIKUM TEKNIK DIGITAL

REGISTER DAN COUNTER

Disusun Oleh :

Ida Bagus Putra Winaya Bagas Tiara Putra


NIM : 2005541125 NIM : 2005541126

PROGRAM STUDI TEKNIK ELEKTRO


FAKULTAS TEKNIK
UNIVERSITAS UDAYANA
TAHUN 2020

i
DAFTAR ISI

DAFTAR ISI .......................................................................................................... ii


REGISTER DAN COUNTER ............................................................................. 1
1. Tujuan Percobaan ...................................................................................... 1
2. Alat Percobaan ........................................................................................... 1
3. Dasar Teori ................................................................................................. 1
3.1 Register ................................................................................................ 1
3.2 Counter ................................................................................................ 1
4. Langkah Percobaan ................................................................................... 2
4.1 Ripple (asinkron) up Counter ............................................................ 2
4.2 Ripple (Asynchronous) Down Counter ............................................. 3
4.3 Paralel (sinkron) counter ................................................................... 3
4.4 Percobaan BCD/Decade Up/Down Counter ..................................... 5
4.5 Percobaan Binary Up/Down Counter ............................................... 8
4.6 Percobaan Paralel Input Paralel Output (PIPO)............................ 9
4.7 Percobaan Serial Input Paralel Output (SIPO) .............................. 9
4.8 Percobaan Paralel To serial Shift Register.................................... 10
5. Pertanyaan ................................................................................................ 11
6. Hasil Percobaan........................................................................................ 12
6.1 Hasil Percobaan Ripple (asinkron) up Counter............................. 12
6.2 Hasil Percobaan Ripple (Asynchronous) Down Counter .............. 13
6.3 Hasil Percobaan Paralel (sinkron) counter .................................... 14
6.4 Hasil Percobaan Percobaan BCD/Decade Up/Down Counter ...... 15
6.5 Hasil Percobaan Percobaan Binary Up/Down Counter ................ 21
6.6 Hasil Percobaan Percobaan Paralel Input Paralel Output (PIPO)
27
6.7 Hasil Percobaan Percobaan Serial Input Paralel Output (SIPO) 29
6.8 Hasil Percobaan Percobaan Paralel To serial Shift Register ...... 30
7. Analisis Hasil Percobaan ......................................................................... 31
7.1 Analisa Hasil Percobaan Ripple (asinkron) up Counter ............... 31
7.2 Analisa Hasil Percobaan Ripple (Asynchronous) Down Counter 31
7.3 Analisa Hasil Percobaan Paralel (sinkron) counter ...................... 31

ii
7.4 Analisa Hasil Percobaan BCD/Decade Up/Down Counter ........... 31
7.5 Analisa Hasil Percobaan Percobaan Binary Up/Down Counter .. 32
7.6 Analisa Hasil Percobaan Percobaan Paralel Input Paralel Output
(PIPO) ........................................................................................................... 33
7.7 Analisa Hasil Percobaan Percobaan Serial Input Paralel Output
(SIPO) ........................................................................................................... 33
7.8 Analisa Hasil Percobaan Percobaan Paralel To serial Shift
Register ......................................................................................................... 33
8. Jawaban Pertanyaan................................................................................ 34
9. kesimpulan ................................................................................................ 38
10. Lampiran ...................................................................................................
10.1 Daftar Pustaka ......................................................................................
10.2 File Percobaan .......................................................................................

iii
PERCOBAAN 4
REGISTER DAN COUNTER

1. Tujuan Percobaan
1 Memahami sifat dan operasional counter
2 Memahami sifat dan operasional register

2. Alat Percobaan
1. Laptop dengan software simulator yang sesuai

3. Dasar Teori
3.1 Register
Register adalah rangkaian logika yang digunakan untuk menyimpan data.
Dengan kata lain, register adalah rangkaian yang tersusun dari satu atau
beberapa flipflop yang digabungkan menjadi satu.
Flipflop disebut juga sebagai register 1 bit. Jadi untuk menyimpan 4 bit
data, register harus terdiri dari 4 buah flipflop.
Register dapat menyimpan informasi dalam kode biner dan
menampilkannya kembali dan dikatakan dapat melakukan operasi baca dan
tulis. Dalam lingkungan komputer digital, register menjadi bagian yang sangat
penting.
Dalam lingkungan ini, istilah register digunakan khusus bagi register
dalam prosesor yang mempunyai fungsi khusus dengan kemampuan tambahan
disamping kemampuan baca/tulis. Register yang hanya mempunyai
kemampuan baca/tulis disebut memory (pengingat) atau storage (penyimpan).
Penyimpanan data dalam memori bersifat jauh lebih permanen dibanding
penyimpanan dalam register. Pada umumnya, dalam satu prosesor disediakan
register dalam jumlah yang sangat terbatas sedangkan memori disediakan dalam
ukuran yang sangat besar, dalam ukuran KB (Kilo Byte) sampai MB (Mega
Byte) yang masingmasing byte terdiri atas 8 sel. Dalam pandangan rangkaian
logika, memori dan register khusus tetap sama dan disebut register.
3.2 Counter
Counter adalah rangkaian logika sekuensial yang dapat berfungsi untuk
menghitung jumlah pulsa yang masuk yang dinyatakan bilangan biner. Hampir
seluruh peralatan elektronik yang mempergunakan sistem digital di dalam
rangkaiannya berisi suatu alat yang dapat mengontrol urutan operasi program.
Alat tersebut dinamakan dengan pencacah atau counter.
Pada umumnya counter ini dibentuk dari beberapa buah rangkaian flip-
flop atau bistabil multivibrator yang jumlahnya disesuaikan dengan kebutuhan.
Menurut cara kerja masukan pulsa ke dalam setiap flip-flop, maka counter dapat
dibagi menjadi:
1. Asynchronous binary counter
2. Sycnchronous binary counter

Sedangkan menurut urutan hitungan yang terbentuk pada outputnya, maka


counter dapat dibagi menjadi:

1
1. Up counter
2. Down counter
3. Up-down counter

4. Langkah Percobaan
4.1 Ripple (asinkron) up Counter
Susunlah rangkaian seperti gambar 4.1, dan ikuti langkah-langkah
percobaan seperti table 4.1 denagn mengantikan clock 1 Hz dengan saklar yang
bisa open close secara manual. Catat hasil percobaan pada table 4.1 Led ON adl
logika tinggi, led OFF logika rendah. Jelaskan apa yang terjadi saat sumber
clock 1 Hz dipasang kembali pada input clock.

VCC VCC VCC VCC


5V 5V 5V 5V

U1A U1B U2A U2B


~1PR ~2PR ~1PR ~2PR

U3 1J 1Q 2J 2Q 1J 1Q 2J 2Q

1CLK 2CLK 1CLK 2CLK

1 Hz 1K ~1Q 2K ~2Q 1K ~1Q 2K ~2Q R1 R2 R3 R4


~1CLR ~2CLR ~1CLR ~2CLR 330Ω 330Ω 330Ω 330Ω

74LS112N 74LS112N 74LS112N 74LS112N


VCC Pin # ____ LED_D LED_C LED_B LED_A
GND Pin # ____

Gambar 4. 1 : Percobaan rangkaian ripple up counter

Tabel 4. 1 : Percobaan rangkaian ripple up counter


Hex / Binary Count
Decimal 8's 4's 2's 1's
Count D C B A
0/0
1/1

2
4.2 Ripple (Asynchronous) Down Counter
Susunlah rangkaian seperti gambar 4.2, dan ikuti langkah-langkah
percobaan seperti table 4.2 denagn mengantikan clock 1 Hz dengan saklar yang
bisa open close secara manual. Catat hasil percobaan pada table 4.2 Led ON adl
logika tinggi, led OFF logika rendah. Jelaskan apa yang terjadi saat sumber
clock 1 Hz dipasang kembali pada input clock.
VCC VCC VCC VCC
5V 5V 5V 5V

U1A U1B U2A U2B


~1PR ~2PR ~1PR ~2PR

U3 1J 1Q 2J 2Q 1J 1Q 2J 2Q

1CLK 2CLK 1CLK 2CLK

1 Hz 1K ~1Q 2K ~2Q 1K ~1Q 2K ~2Q R1 R2 R3 R4


~1CLR ~2CLR ~1CLR ~2CLR 330Ω 330Ω 330Ω 330Ω

74LS112N 74LS112N 74LS112N 74LS112N


VCC Pin # ____ LED_D LED_C LED_B LED_A
GND Pin # ____

Gambar 4. 2 : Percobaan rangkaian ripple down counter

Tabel 4. 2 : Percobaan rangkaian ripple down counter


Hex / Binary Count
Decimal 8's 4's 2's 1's
Count D C B A
F / 15

4.3 Paralel (sinkron) counter


Susunlah rangkaian seperti gambar 4.3, dan ikuti langkah-langkah
percobaan seperti table 4.3 dengan mengantikan clock 1 Hz dengan saklar yang
bisa open close secara manual. Catat hasil percobaan pada table 4.3 Led ON adl
logika tinggi, led OFF logika rendah. Jelaskan apa yang terjadi saat sumber
clock 1 Hz dipasang kembali pada input clock.

3
U3A
U3B

74LS08N
74LS08N

VCC VCC VCC VCC


5V 5V 5V 5V

U1A U1B U2A U2B


~1PR ~2PR ~1PR ~2PR

1J 1Q 2J 2Q 1J 1Q 2J 2Q

1CLK 2CLK 1CLK 2CLK

1K ~1Q 2K ~2Q 1K ~1Q 2K ~2Q R1 R2 R3 R4


~1CLR ~2CLR ~1CLR ~2CLR 330Ω 330Ω 330Ω 330Ω

U4 74LS112N 74LS112N 74LS112N 74LS112N


LED_D LED_C LED_B LED_A
1 Hz VCC Pin # ____ GND Pin # ____

Gambar 4. 3 : Percobaan parallel counter

Tabel 4. 3 : Percobaan parallel counter


Hex / Binary Count
Decimal 8's 4's 2's 1's
Count D C B A
0/0

4
4.4 Percobaan BCD/Decade Up/Down Counter
Untuk menggunakan modul ini, lakukan langkah-langkah berikut :

Gambar 4. 4 : BCD/Decade Up/Down Counter 74LS190

Mode operasi parallel load:


1. -PL = 0, -CE = -U/D = -CP = X
2. Ubah-ubah posisi saklar P dan kemudian tekan trigger secara perlahan 1x
dan catat output Q3 Q2 Q1 Q0. Lakukan secara berulang sampai 16 x. Catat
hasilnya pada tabel 4.1

Tabel 4. 4 : Percobaan mode PL


NO P3 P2 P1 P0 Q3 Q2 Q1 Q0 RC TC
1 0 0 0 0
2 0 0 1 0
3 0 0 1 1
4 0 1 0 0
5
sd
13
14 1 1 1 0
15 1 1 1 1
16 0 0 0 0

Mode operasi count up:


3. - PL = 1, CE = 0, -U/D = 0, Buat input 0000, kemudian tekan trigger secara
perlahan 1x dan catat output Q3 Q2 Q1 Q0.
4. Lakukan secara berulang sampai 20 x, pehatikan LED pada TC dan –RC, .
Catat hasilnya pada tabel 4.2
5. Buat input 0011 kemudian tekan trigger secara perlahan 1x dan catat output
Q3 Q2 Q1 Q0. Lakukan secara berulang sampai 20 x

5
Tabel 4. 5 : Percobaan mode count up
NO P3 P2 P1 P0 Q3 Q2 Q1 Q0 RC TC
1 0 0 0 0
2 0 0 0 0
3 0 0 0 0
4 0 0 0 0
5
sd
18
19 0 0 0 0
20 0 0 0 0

Tabel 4. 6 : Percobaan mode count up


NO P3 P2 P1 P0 Q3 Q2 Q1 Q0 RC TC
1 0 0 1 1
2 0 0 1 1
3 0 0 1 1
4 0 0 1 1
5
sd
14
15 0 0 1 1
16 0 0 1 1
17 0 0 1 1
18 0 0 1 1
19 0 0 1 1
20 0 0 1 1

Mode operasi count down


6. -PL = 1,CE = 0, -U/D = 1, kemudian tekan trigger secara perlahan 1x dan
catat output Q3 Q2 Q1 Q0.
7. Lakukan secara berulang sampai 20 x, pehatikan LED pada TC dan –RC,
Catat hasilnya pada tabel 4.4

Tabel 4. 7 : Percobaan mode count down


NO P3 P2 P1 P0 Q3 Q2 Q1 Q0 RC TC
1 1 1 1 1
2 1 1 1 1
3 1 1 1 1
4
5
sd
18
19 1 1 1 1
20 1 1 1 1

6
Tabel 4. 8 : Percobaan mode count down
NO P3 P2 P1 P0 Q3 Q2 Q1 Q0 RC TC
1 1 1 0 0
2 1 1 0 0
3 1 1 0 0
4 1 1 0 0
5 1 1 0 0
sd
18
19 1 1 0 0
20 1 1 0 0
Mode operasi hold
8. Ini hanya memerlukan pin PL = 1dan CE = 1sedangkan pin lainnya don’t
care
9. Ubah-ubah posisi saklar P apa yang terjadi, Catat hasilnya pada tabel 4.6

Tabel 4. 9 : Percobaan mode hold


NO P3 P2 P1 P0 Q3 Q2 Q1 Q0 RC TC
1 0 0 0 0
2 0 0 0 1
3 0 0 1 0
4 0 0 1 1
5
sd
14
15 1 1 1 1
16 0 0 0 0

7
4.5 Percobaan Binary Up/Down Counter
Untuk percobaan ini langkah-langkahnya sama dengan percobaan 4.4
sebelumnya. Pindahkan posisi CLK dari 74LS190 ke 74LS191. Catat hasilnya
seperti pada tabel sebelumnya (TABEL 4.10 SD 4.15).

Gambar 4. 5 : Percobaan Binary Up/Down Counter

8
4.6 Percobaan Paralel Input Paralel Output (PIPO)
Perhatikan gambar 4.3, semua indikator menggunakan LED. Jika LED
menyala berarti logika ‘1’ dan bila padam berarti logika ‘0’.Langkah-langkah
percobaan ini adalah sbb:
1. Pastikan catu daya masih menyala (ON)
2. Kemudian lakukan percobaan berikut, dng mengatur DIPSWITCH
sehingga sesuai dengan kondisi logika pada tabel 4.1
3. CLK ON berarti tombol push button CLOCK ditekan kemudian dilepas
4. Pada baris ke-1 dari tabel, bagaimanakah kondisi D0 - D7 dan D0’ - D7’
dst sampai baris ke -6 setelah clock ditekan sekali dan dua kali, catat
hasilnya pada kolom disampingnya.
5. Perhatikan dan catat tipe IC yang anda pakai percobaan

Gambar 4. 6 : PIPO 74ALS574

Tabel 4. 16 : Percobaan PIPO


No I0 I1 I2 I3 I4 I5 I6 I7 OE CLK D0-D7 D0’-D7’

1 X X X X X X X X 1 X
2 X X X X X X X X 0 X
3 0 0 0 0 0 0 0 0 0 ON
4 1 1 1 1 1 1 1 1 0 ON
5 0 1 0 1 0 1 0 1 0 ON
6 1 0 1 0 1 0 1 0 0 ON
7 0 0 0 0 1 1 1 1 0 ON

4.7 Percobaan Serial Input Paralel Output (SIPO)


Perhatikan gambar 4.7. Input SIPO terdiri dari 1 ENABLE, 1 DATA, 1
CLK dan 1 MR (Master Reset) sedangkan outputnya terdiri dari 8 Q0 - Q7.
Rangkaian tambahan berupa monostable multivibrator 555 yang berfungsi
sebagai pembangkit sinyal CLK dimana CLK bersifat rising edge. Semua
indikator menggunakan LED. Jika LED menyala berarti logika ‘1’ dan bila
padam berarti logika ‘0’.

9
Langkah-langkah percobaan ini adalah :
1. Pastikan catu daya masih menyala (ON)
2. Atur posisi saklar enable,reset dan data sesuai tabel 4.14
3. Catat hasilnya dan isikan pada tabel 4.17.

Tabel 4. 17 : Percobaan SIPO


ENABLE RST DATA CLK Q0 Q1 Q2 Q3 Q4 Q5 Q6 Q7
0 X X X
X 1 X X
1 1 0 ON
1 1 1 ON
1 1 0 ON
1 1 1 ON
1 1 0 ON
1 1 1 ON
1 1 0 ON
1 1 1 ON
CLK : ON berarti tekan tombol push button CLOCK kemudian lepas

4. Amati tipe IC yang anda pakai percobaan

Gambar 4. 7 : SIPO 74LS164

4.8 Percobaan Paralel To serial Shift Register


Untuk menggunakan modul ini, lakukan langkah-langkah berikut:
1. CLK1 pada posisi CLK
2. CLK2 pada posisi 0
3. PL = 0, lihat ouputnya apa yg terjadi
4. kemudian pindah ke 1 (SH/-PL)
5. Buat serial input = 0
6. Buat. D7 = 0, D6 = 1, D5 = 0, D4 = 1, D3 = 0, D2 = 1, D1 = 0, D0 = 1 atau
pola lainnya sesuai keinginan anda

10
7. Tekan trigger 1x , kemudian lihat Q dan –Q dan tekan trigger lagi dan
seterusnya sampai 8 x. Catat hasil pengamatan anda
8. Catat hasilnya pada tabel 4.18
9. Coba 2 kombinasi input data lainnya (data2 dan data3) yaitu 11100000 dan
00001010
10. Perhatikan data paralel mana yang keluar pertama pada output (Q)

Tabel 4. 18 : Percobaan PISO


CLK Data1 Data2 Data3
(01010101) (11100000) (00001010)
1
2
3
4
5
6
7
8

11. Jika clock ditekan sd 16 kali apa yg terjadi dari penekanan ke 9 sd 16.
12. Amati tipe IC yang anda pakai percobaan

Gambar 4. 8 : Rangkaian PISO 74LS165

5. Pertanyaan
1. Berikan 2 perbedaan operasi sinkron dan asinkron pada counter
2. Gambarkan rangkaian binary up counter dan jelaskan cara kerjanya
3. Gambarkan rangkaian binary down counter dan jelaskan cara kerjanya
4. Berikan 2 perbedaan operasi parallel load dan count up pada percobaan yg
sudah dilakukan.
5. Ada 2 mode operasi pada percobaan PISO/74L165, sebutkan dan berikan
penjelasannya masing-masing

11
6. Jelaskan arti dua data pada dua baris pertama pada tabel percobaan SIPO
74LS164 dan berikan komentar untuk level logika yang akan terjadi pada
outputnya,
7. Gambarkan diagram logic internal dari IC 74LS164 serta jelaskan cara
kerjanya
8. A decade counter mempunyai …counts and sehingga sering disebut dengan
counter modulo ...
9. Gambarkan counter up modulo 12, jelaskan cara kerjanya

6. Hasil Percobaan
6.1 Hasil Percobaan Ripple (asinkron) up Counter
Dengan menggunakan IC 74LS112
? U1:A(S) U1:B(S) U2:A(S) U2:B(S)

U1:A U1:B U2:A U2:B


10

10
4

4
3 5 11 9 3 5 11 9
S

S
J Q J Q J Q J Q
1 13 1 13
CLK CLK CLK CLK
2 6 12 7 2 6 12 7 D C B
K Q K Q K Q K Q
R

R
15

14

15

14
74LS112 74LS112 74LS112 74LS112
R1 R2 R3 R4
330 330 330 330

Gambar 6. 1 : Simulasi percobaan Ripple Up Counter

Tabel 6. 1 : Hasil percobaan ripple up Counter


Hex / Binary Count
Decimal 8's 4's 2's 1's
Count D C B A
0/0 0 0 0 0
1/1 0 0 0 1
2/2 0 0 1 0
3/3 0 0 1 1
4/4 0 1 0 0
5/5 0 1 0 1
6/6 0 1 1 0
7/7 0 1 1 1
8/8 1 0 0 0
9/9 1 0 0 1
10/10 1 0 1 0
11/11 1 0 1 1
12/12 1 1 0 0
13/13 1 1 0 1
14/14 1 1 1 0
15/15 1 1 1 1

12
6.2 Hasil Percobaan Ripple (Asynchronous) Down Counter
Dengan menggunakan IC 74LS112
? U1:A(S) U1:B(S) U2:A(S) U2:B(S)

U1:A U1:B U2:A U2:B

10

10
4

4
3 5 11 9 3 5 11 9

S
J Q J Q J Q J Q
1 13 1 13
CLK CLK CLK CLK
2 6 12 7 2 6 12 7
K Q K Q K Q K Q D C B A

R
15

14

15

14
74LS112 74LS112 74LS112 74LS112

R1 R2 R3 R4
330 330 330 330

Gambar 6. 2 : Simulasi Ripple Down Counter

Tabel 6. 2 : Hasil percobaan Ripple Down Counter


Hex / Binary Count
Decimal 8's 4's 2's 1's
Count D C B A
15/15 1 1 1 1
14/14 1 1 1 0
13/13 1 1 0 1
12/12 1 1 0 0
11/11 1 0 1 1
10/10 1 0 1 0
9/9 1 0 0 1
8/8 1 0 0 0
7/7 0 1 1 1
6/6 0 1 1 0
5/5 0 1 0 1
4/4 0 1 0 0
3/3 0 0 1 1
2/2 0 0 1 0
1/1 0 0 0 1
0/0 0 0 0 0

13
6.3 Hasil Percobaan Paralel (sinkron) counter
Dengan menggunakan IC 74LS112

U3:A
1 U3:B
3 4
2 6
5
74LS08
74LS08

U1:A(S) U1:B(S) U2:A(S) U2:B(S)

U1:A U1:B U2:A U2:B

10

10
4

4
3 5 11 9 3 5 11 9
S

S
J Q J Q J Q J Q
1 13 1 13
CLK CLK CLK CLK
? 2 6 12 7 2 6 12 7 D C B
K Q K Q K Q K Q
R

R
15

14

15

14
74LS112 74LS112 74LS112 74LS112
R1 R2 R3 R4
330 330 330 330

Gambar 6. 3 : Simulasi percobaan Paralel Counter

Tabel 6. 3 : Hasil percobaan Paralel Counter


Hex / Binary Count
Decimal 8's 4's 2's 1's
Count D C B A
0/0 0 0 0 0
1/1 0 0 0 1
2/2 0 0 1 0
3/3 0 0 1 1
4/4 0 1 0 0
5/5 0 1 0 1
6/6 0 1 1 0
7/7 0 1 1 1
8/8 1 0 0 0
9/9 1 0 0 1
10/10 1 0 1 0
11/11 1 0 1 1
12/12 1 1 0 0
13/13 1 1 0 1
14/14 1 1 1 0
15/15 1 1 1 1

14
6.4 Hasil Percobaan Percobaan BCD/Decade Up/Down Counter
Dengan menggunakan IC 74LS190
O0
R9
470

O1
(2) (2)
R10
470

U1
15 3
P1 P0 1
D0 Q0
2 O2
10
D1 Q1
6
R11
D2 Q2
9 7 470
D3 Q3
(2) 13
RCO
14
CLK
4
E
R1 R2 5
D/U
11
1k 1k PL
12 O3
TC R12
74LS190 470
D1 D2

R8 RC
1k
R5(2)
R13
470
(2) (2)
R5
10k
CE
TC
P3 P2 R14
470

R6(2)
R3 R4
1k 1k

R6
10k

D3 D4 -U/D

R7(2)

R7
10k

PL

Gambar 6. 4 : Simulasi percobaan BCD/Decade Up/Down Counter

15
Hasil percobaan :
• Mode operasi parallel load
-PL = 0, -CE = -U/D = -CP = X
Tabel 6. 4 : Hasil percobaan mode operasi parallel load
NO P3 P2 P1 P0 O3 O2 O1 O0 RC TC
1 0 0 0 0 0 0 0 0 1 0
2 0 0 1 0 0 0 1 0 1 0
3 0 0 1 1 0 0 1 1 1 0
4 0 1 0 0 0 1 0 0 1 0
5 0 1 0 1 0 1 0 1 1 0
6 0 1 1 0 0 1 1 0 1 0
7 0 1 1 1 0 1 1 1 1 0
8 1 0 0 0 1 0 0 0 1 0
9 1 0 0 1 1 0 0 1 1 0
10 1 0 1 0 1 0 1 0 1 0
11 1 0 1 1 1 0 1 1 1 0
12 1 1 0 0 1 1 0 0 1 0
13 1 1 0 1 1 1 0 1 1 0
14 1 1 1 0 1 1 1 0 1 0
15 1 1 1 1 1 1 1 1 1 0

16
• Mode operasi count up
- PL = 1, CE = 0, -U/D = 0
Tabel 6. 5 : Hasil percobaan mode operasi count up input 0000
NO P3 P2 P1 P0 O3 O2 O1 O0 RC TC
1 0 0 0 0 0 0 0 1 1 0
2 0 0 0 0 0 0 1 0 1 0
3 0 0 0 0 0 0 1 1 1 0
4 0 0 0 0 0 1 0 0 1 0
5 0 0 0 0 0 1 0 1 1 0
6 0 0 0 0 0 1 1 0 1 0
7 0 0 0 0 0 1 1 1 1 0
8 0 0 0 0 1 0 0 0 1 0
9 0 0 0 0 1 0 0 1 0 1
10 0 0 0 0 0 0 0 0 1 0
11 0 0 0 0 0 0 0 1 1 0
12 0 0 0 0 0 0 1 0 1 0
13 0 0 0 0 0 0 1 1 1 0
14 0 0 0 0 0 1 0 0 1 0
15 0 0 0 0 0 1 0 1 1 0
16 0 0 0 0 0 1 1 0 1 0
17 0 0 0 0 0 1 1 1 1 0
18 0 0 0 0 1 0 0 0 1 0
19 0 0 0 0 1 0 0 1 0 1
20 0 0 0 0 0 0 0 0 1 0

17
Tabel 6. 6 : Hasil percobaan mode operasi count up input 0011
NO P3 P2 P1 P0 O3 O2 O1 O0 RC TC
1 0 0 1 1 0 0 0 1 1 0
2 0 0 1 1 0 0 1 0 1 0
3 0 0 1 1 0 0 1 1 1 0
4 0 0 1 1 0 1 0 0 1 0
5 0 0 1 1 0 1 0 1 1 0
6 0 0 1 1 0 1 1 0 1 0
7 0 0 1 1 0 1 1 1 1 0
8 0 0 1 1 1 0 0 0 1 0
9 0 0 1 1 1 0 0 1 0 1
10 0 0 1 1 0 0 0 0 1 0
11 0 0 1 1 0 0 0 1 1 0
12 0 0 1 1 0 0 1 0 1 0
13 0 0 1 1 0 0 1 1 1 0
14 0 0 1 1 0 1 0 0 1 0
15 0 0 1 1 0 1 0 1 1 0
16 0 0 1 1 0 1 1 0 1 0
17 0 0 1 1 0 1 1 1 1 0
18 0 0 1 1 1 0 0 0 1 0
19 0 0 1 1 1 0 0 1 0 1
20 0 0 1 1 0 0 0 0 1 0

18
• Mode operasi count down
-PL = 1,CE = 0, -U/D = 1
Tabel 6. 7 : Hasil percobaan mode operasi count down input 1111
NO P3 P2 P1 P0 O3 O2 O1 O0 RC TC
1 1 1 1 1 1 0 0 1 1 0
2 1 1 1 1 1 0 0 0 1 0
3 1 1 1 1 0 1 1 1 1 0
4 1 1 1 1 0 1 1 0 1 0
5 1 1 1 1 0 1 0 1 1 0
6 1 1 1 1 0 1 0 0 1 0
7 1 1 1 1 0 0 1 1 1 0
8 1 1 1 1 0 0 1 0 1 0
9 1 1 1 1 0 0 0 1 1 0
10 1 1 1 1 0 0 0 0 0 1
11 1 1 1 1 1 0 0 1 1 0
12 1 1 1 1 1 0 0 0 1 0
13 1 1 1 1 0 1 1 1 1 0
14 1 1 1 1 0 1 1 0 1 0
15 1 1 1 1 0 1 0 1 1 0
16 1 1 1 1 0 1 0 0 1 0
17 1 1 1 1 0 0 1 1 1 0
18 1 1 1 1 0 0 1 0 1 0
19 1 1 1 1 0 0 0 1 1 0
20 1 1 1 1 0 0 0 0 0 1

19
Tabel 6. 8 : Hasil percobaan mode operasi count down input 1100
NO P3 P2 P1 P0 O3 O2 O1 O0 RC TC
1 1 1 0 0 1 0 0 1 1 0
2 1 1 0 0 1 0 0 0 1 0
3 1 1 0 0 0 1 1 1 1 0
4 1 1 0 0 0 1 1 0 1 0
5 1 1 0 0 0 1 0 1 1 0
6 1 1 0 0 0 1 0 0 1 0
7 1 1 0 0 0 0 1 1 1 0
8 1 1 0 0 0 0 1 0 1 0
9 1 1 0 0 0 0 0 1 1 0
10 1 1 0 0 0 0 0 0 0 1
11 1 1 0 0 1 0 0 1 1 0
12 1 1 0 0 1 0 0 0 1 0
13 1 1 0 0 0 1 1 1 1 0
14 1 1 0 0 0 1 1 0 1 0
15 1 1 0 0 0 1 0 1 1 0
16 1 1 0 0 0 1 0 0 1 0
17 1 1 0 0 0 0 1 1 1 0
18 1 1 0 0 0 0 1 0 1 0
19 1 1 0 0 0 0 0 1 1 0
20 1 1 0 0 0 0 0 0 0 1

• Mode operasi hold


pin PL = 1 dan CE = 1
Tabel 6. 9 : Hasil percobaan mode operasi hold
NO P3 P2 P1 P0 O3 O2 O1 O0 RC TC
1 0 0 0 0 0 0 0 0 1 0
2 0 0 0 1 0 0 0 0 1 0
3 0 0 1 0 0 0 0 0 1 0
4 0 0 1 1 0 0 0 0 1 0
5 0 1 0 0 0 0 0 0 1 0
6 0 1 0 1 0 0 0 0 1 0
7 0 1 1 0 0 0 0 0 1 0
8 0 1 1 1 0 0 0 0 1 0
9 1 0 0 0 0 0 0 0 1 0
10 1 0 0 1 0 0 0 0 1 0
11 1 0 1 0 0 0 0 0 1 0
12 1 0 1 1 0 0 0 0 1 0
13 1 1 0 0 0 0 0 0 1 0
14 1 1 0 1 0 0 0 0 1 0
15 1 1 1 0 0 0 0 0 1 0
16 1 1 1 1 0 0 0 0 1 0
17 0 0 0 0 0 0 0 0 1 0

20
6.5 Hasil Percobaan Percobaan Binary Up/Down Counter
Dengan menggunakan IC 74LS191
O0
R9
470

O1
(2) (2)
R10
470

U1
15 3
P1 P0 1
D0 Q0
2 O2
10
D1 Q1
6
R11
D2 Q2
9 7 470
D3 Q3
(2) 13
RCO
14
CLK
4
E
R1 R2 5
D/U
11
1k 1k PL
12 O3
TC R12
74LS191 470
D1 D2

R8 RC
1k
R5(2)
R13
470
(2) (2)
R5
10k
CE
TC
P3 P2 R14
470

R6(2)
R3 R4
1k 1k

R6
10k

D3 D4 -U/D

R7(2)

R7
10k

PL

Gambar 6. 5 : Simulasi percobaan Binary Up

21
Hasil percobaan :
• Mode operasi parallel load
-PL = 0, -CE = -U/D = -CP = X
Tabel 6. 10 : Hasil percobaan mode operasi mode operasi parallel load
NO P3 P2 P1 P0 O3 O2 O1 O0 RC TC
1 0 0 0 0 0 0 0 0 1 0
2 0 0 1 0 0 0 1 0 1 0
3 0 0 1 1 0 0 1 1 1 0
4 0 1 0 0 0 1 0 0 1 0
5 0 1 0 1 0 1 0 1 1 0
6 0 1 1 0 0 1 1 0 1 0
7 0 1 1 1 0 1 1 1 1 0
8 1 0 0 0 1 0 0 0 1 0
9 1 0 0 1 1 0 0 1 1 0
10 1 0 1 0 1 0 1 0 1 0
11 1 0 1 1 1 0 1 1 1 0
12 1 1 0 0 1 1 0 0 1 0
13 1 1 0 1 1 1 0 1 1 0
14 1 1 1 0 1 1 1 0 1 0
15 1 1 1 1 1 1 1 1 0 1

22
• Mode operasi count up
- PL = 1, CE = 0, -U/D = 0
Tabel 6. 11 : Hasil percobaan mode operasi count up input 0000
NO P3 P2 P1 P0 O3 O2 O1 O0 RC TC
1 0 0 0 0 0 0 0 1 1 0
2 0 0 0 0 0 0 1 0 1 0
3 0 0 0 0 0 0 1 1 1 0
4 0 0 0 0 0 1 0 0 1 0
5 0 0 0 0 0 1 0 1 1 0
6 0 0 0 0 0 1 1 0 1 0
7 0 0 0 0 0 1 1 1 1 0
8 0 0 0 0 1 0 0 0 1 0
9 0 0 0 0 1 0 0 1 1 0
10 0 0 0 0 1 0 1 0 1 0
11 0 0 0 0 1 0 1 1 1 0
12 0 0 0 0 1 1 0 0 1 0
13 0 0 0 0 1 1 0 1 1 0
14 0 0 0 0 1 1 1 0 1 0
15 0 0 0 0 1 1 1 1 0 1
16 0 0 0 0 0 0 0 0 1 0
17 0 0 0 0 0 0 0 1 1 0
18 0 0 0 0 0 0 1 0 1 0
19 0 0 0 0 0 0 1 1 1 0
20 0 0 0 0 0 1 0 0 1 0

23
Tabel 6. 12 : Hasil percobaan mode operasi count up input 0011
NO P3 P2 P1 P0 O3 O2 O1 O0 RC TC
1 0 0 1 1 0 0 0 1 1 0
2 0 0 1 1 0 0 1 0 1 0
3 0 0 1 1 0 0 1 1 1 0
4 0 0 1 1 0 1 0 0 1 0
5 0 0 1 1 0 1 0 1 1 0
6 0 0 1 1 0 1 1 0 1 0
7 0 0 1 1 0 1 1 1 1 0
8 0 0 1 1 1 0 0 0 1 0
9 0 0 1 1 1 0 0 1 1 0
10 0 0 1 1 1 0 1 0 1 0
11 0 0 1 1 1 0 1 1 1 0
12 0 0 1 1 1 1 0 0 1 0
13 0 0 1 1 1 1 0 1 1 0
14 0 0 1 1 1 1 1 0 1 0
15 0 0 1 1 1 1 1 1 0 1
16 0 0 1 1 0 0 0 0 1 0
17 0 0 1 1 0 0 0 1 1 0
18 0 0 1 1 0 0 1 0 1 0
19 0 0 1 1 0 0 1 1 1 0
20 0 0 1 1 0 1 0 0 1 0

24
• Mode operasi count down
-PL = 1,CE = 0, -U/D = 1
Tabel 6. 13 : Hasil percobaan mode operasi count down input 1111
NO P3 P2 P1 P0 O3 O2 O1 O0 RC TC
1 1 1 1 1 1 1 1 1 1 0
2 1 1 1 1 1 1 1 0 1 0
3 1 1 1 1 1 1 0 1 1 0
4 1 1 1 1 1 1 0 0 1 0
5 1 1 1 1 1 0 1 1 1 0
6 1 1 1 1 1 0 1 0 1 0
7 1 1 1 1 1 0 0 1 1 0
8 1 1 1 1 1 0 0 0 1 0
9 1 1 1 1 0 1 1 1 1 0
10 1 1 1 1 0 1 1 0 1 0
11 1 1 1 1 0 1 0 1 1 0
12 1 1 1 1 0 1 0 0 1 0
13 1 1 1 1 0 0 1 1 1 0
14 1 1 1 1 0 0 1 0 1 0
15 1 1 1 1 0 0 0 1 1 0
16 1 1 1 1 0 0 0 0 0 1
17 1 1 1 1 1 1 1 1 1 0
18 1 1 1 1 1 1 1 0 1 0
19 1 1 1 1 1 1 0 1 1 0
20 1 1 1 1 1 1 0 0 1 0

25
Tabel 6. 14 : Hasil percobaan mode operasi count down input 1100
NO P3 P2 P1 P0 O3 O2 O1 O0 RC TC
1 1 1 0 0 1 1 1 1 1 0
2 1 1 0 0 1 1 1 0 1 0
3 1 1 0 0 1 1 0 1 1 0
4 1 1 0 0 1 1 0 0 1 0
5 1 1 0 0 1 0 1 1 1 0
6 1 1 0 0 1 0 1 0 1 0
7 1 1 0 0 1 0 0 1 1 0
8 1 1 0 0 1 0 0 0 1 0
9 1 1 0 0 0 1 1 1 1 0
10 1 1 0 0 0 1 1 0 1 0
11 1 1 0 0 0 1 0 1 1 0
12 1 1 0 0 0 1 0 0 1 0
13 1 1 0 0 0 0 1 1 1 0
14 1 1 0 0 0 0 1 0 1 0
15 1 1 0 0 0 0 0 1 1 0
16 1 1 0 0 0 0 0 0 0 1
17 1 1 0 0 1 1 1 1 1 0
18 1 1 0 0 1 1 1 0 1 0
19 1 1 0 0 1 1 0 1 1 0
20 1 1 0 0 1 1 0 0 1 0

• Mode operasi hold


pin PL = 1 dan CE = 1
Tabel 6. 15 : Hasil percobaan mode operasi hold
NO P3 P2 P1 P0 O3 O2 O1 O0 RC TC
1 0 0 0 0 0 0 0 0 1 0
2 0 0 0 1 0 0 0 0 1 0
3 0 0 1 0 0 0 0 0 1 0
4 0 0 1 1 0 0 0 0 1 0
5 0 1 0 0 0 0 0 0 1 0
6 0 1 0 1 0 0 0 0 1 0
7 0 1 1 0 0 0 0 0 1 0
8 0 1 1 1 0 0 0 0 1 0
9 1 0 0 0 0 0 0 0 1 0
10 1 0 0 1 0 0 0 0 1 0
11 1 0 1 0 0 0 0 0 1 0
12 1 0 1 1 0 0 0 0 1 0
13 1 1 0 0 0 0 0 0 1 0
14 1 1 0 1 0 0 0 0 1 0
15 1 1 1 0 0 0 0 0 1 0
16 1 1 1 1 0 0 0 0 1 0
17 0 0 0 0 0 0 0 0 1 0

26
6.6 Hasil Percobaan Percobaan Paralel Input Paralel Output (PIPO)
Dengan menggunakan tipe IC 74HC574
DSW1(NO8)

10

12
13
14
15
16
11
9
DSW1

OFF
DIPSW_8

ON
I0 D0 D0'

8
7
6
5
4
3
2
1
I1 D1 D1'

I2 D2 D2'
U2 U3
2 19 2 19
D0 Q0 D0 Q0
3 18 3 18
I3 4
D1 Q1
17 D3 4
D1 Q1
17 D3'
D2 Q2 D2 Q2
5 16 5 16
D3 Q3 D3 Q3
U1(VCC) 6 15 6 15
D4 Q4 D4 Q4
7 14 7 14
I4 8
D5 Q5
13 D4 8
D5 Q5
13 D4'
D6 Q6 D6 Q6
9 12 9 12
D7 Q7 D7 Q7
11 11
R1
I5 1
CLK D5 1
CLK D5'
OE OE
100k
U1 74HC574 74HC574
8

R2(2)
4 3 I6 D6 D6'
VCC

R Q C1
7
C2 DC

R2 5 1uF I7 D7 D7'
CV
10k
10n (NO)
GND

2 6
TR TH
1

NE555

Gambar 6. 6 : Simulasi percobaan PIPO

Tabel 6. 16 : Hasil percobaan PIPO


No I0 I1 I2 I3 I4 I5 I6 I7 OE CLK D0-D7 D0’-D7’
1 X X X X X X X X 1 X D0=0 D0’=0
D1=0 D1’=0
D2=0 D2’=0
D3=0 D3’=0
D4=0 D4’=0
D5=0 D5’=0
D6=0 D6’=0
D7=0 D7’=0
2 X X X X X X X X 0 X D0=0 D0’=0
D1=0 D1’=0
D2=0 D2’=0
D3=0 D3’=0
D4=0 D4’=0
D5=0 D5’=0
D6=0 D6’=0
D7=0 D7’=0
3 0 0 0 0 0 0 0 0 0 ON D0=0 D0’=0
D1=0 D1’=0
D2=0 D2’=0
D3=0 D3’=0
D4=0 D4’=0
D5=0 D5’=0
D6=0 D6’=0
D7=0 D7’=0
4 1 1 1 1 1 1 1 1 0 ON D0=1 D0’=1
D1=1 D1’=1

27
D2=1 D2’=1
D3=1 D3’=1
D4=1 D4’=1
D5=1 D5’=1
D6=1 D6’=1
D7=1 D7’=1
5 0 1 0 1 0 1 0 1 0 ON D0=0 D0’=0
D1=1 D1’=1
D2=0 D2’=0
D3=1 D3’=1
D4=0 D4’=0
D5=1 D5’=1
D6=0 D6’=0
D7=1 D7’=1
6 1 0 1 0 1 0 1 0 0 ON D0=1 D0’=1
D1=0 D1’=0
D2=1 D2’=1
D3=0 D3’=0
D4=1 D4’=1
D5=0 D5’=0
D6=1 D6’=1
D7=0 D7’=0
7 0 0 0 0 1 1 1 1 0 ON D0=0 D0’=0
D1=0 D1’=0
D2=0 D2’=0
D3=0 D3’=0
D4=1 D4’=1
D5=1 D5’=1
D6=1 D6’=1
D7=1 D7’=1

28
6.7 Hasil Percobaan Percobaan Serial Input Paralel Output (SIPO)
Dengan menggunakan IC 74LS164
ENABLE SW3(NO)
SW3

SW-SPDT
Q0
SW2(NO)
DATA
SW2
Q1
U1(VCC) SW-SPDT
Q2
U2
1 3
R1 2
A Q0
4 Q3
B Q1
100k 5
U1 Q2
6
8

Q3
10
R2(2) 4 3 8
Q4
11 Q4
VCC

R Q C1 CLK Q5
12
Q6
7 9 13
C2 DC MR Q7

R2 5 1uF 74LS164 Q5
CV
1k
10n
SW1(NO)
Q6
GND

2 6
TR TH SW1
1

NE555
Q7
CLOCK SW-SPDT
RESET

Gambar 6. 7 : Simulasi percobaan SIPO

Tabel 6. 17 : Hasil percobaan SIPO


ENABLE RST DATA CLK Q0 Q1 Q2 Q3 Q4 Q5 Q6 Q7
0 X X X 0 0 0 0 0 0 0 0
X 1 X X 0 0 0 0 0 0 0 0
1 1 0 ON 0 0 0 0 0 0 0 0
1 1 1 ON 1 0 0 0 0 0 0 0
1 1 0 ON 0 1 0 0 0 0 0 0
1 1 1 ON 1 0 1 0 0 0 0 0
1 1 0 ON 0 1 0 1 0 0 0 0
1 1 1 ON 1 0 1 0 1 0 0 0
1 1 0 ON 0 1 0 1 0 1 0 0
1 1 1 ON 1 0 1 0 1 0 1 0

29
6.8 Hasil Percobaan Percobaan Paralel To serial Shift Register
Dengan menggunakan IC 74LS165
(2) (2) (2) (2) (2) (2) (2) (2) (2)

D7 D6 D5 D4 D3 D2 D1 D0 DS

R9 R8 R7 R6 R5 R4 R3 R2 R1
1k 1k 1k 1k 1k 1k 1k 1k 1k

U1
10
SI
11
D0
12
D1
13
D2
14
3
D3 Q
4
D4 R12
D5
5 470
D6
6 9
D7 SO
2 7
15
CLK QH -Q
DSW1(COM1) DSW1 1
INH R13
ON OFF SH/LD
1 8 R11(2)
470
2 7 74LS165
(2) 3 6
4 5
R11
DIPSW_4 10k

DSW2(COM1) DSW2 PL
1 ON OFF 8
2 7
3 6
4 5
R10
1k DIPSW_4

Gambar 6. 8 : Simulasi percobaan parallel to serial shift register

Tabel 6. 18 : Hasil percobaan parallel to serial shift register


CLK Data1 Data2 Data3
(01010101) (11100000) (00001010)
1 Q=0, -Q=1 Q=0,-Q=1 Q=0,-Q=1
2 Q=0, -Q=1 Q=0,-Q=1 Q=0,-Q=1
3 Q=0, -Q=1 Q=0,-Q=1 Q=0,-Q=1
4 Q=0, -Q=1 Q=0,-Q=1 Q=0,-Q=1
5 Q=0, -Q=1 Q=0,-Q=1 Q=0,-Q=1
6 Q=0, -Q=1 Q=0,-Q=1 Q=0,-Q=1
7 Q=0, -Q=1 Q=0,-Q=1 Q=0,-Q=1
8 Q=0, -Q=1 Q=0,-Q=1 Q=0,-Q=1
9 Q=0, -Q=1 Q=0,-Q=1 Q=0,-Q=1
10 Q=0, -Q=1 Q=0,-Q=1 Q=0,-Q=1
11 Q=0, -Q=1 Q=0,-Q=1 Q=0,-Q=1
12 Q=0, -Q=1 Q=0,-Q=1 Q=0,-Q=1
13 Q=0, -Q=1 Q=0,-Q=1 Q=0,-Q=1
14 Q=0, -Q=1 Q=0,-Q=1 Q=0,-Q=1
15 Q=0, -Q=1 Q=0,-Q=1 Q=0,-Q=1
16 Q=0, -Q=1 Q=0,-Q=1 Q=0,-Q=1

30
7. Analisis Hasil Percobaan
7.1 Analisa Hasil Percobaan Ripple (asinkron) up Counter
Pada percobaan Ripple Up Counter menggunakan IC 74LS112 sebanyak
4 buah. Untuk pengatur pin CLK di IC 74LS112 kesatu, pertama menggunakan
clock 1 Hz yang dihubungkan dengan button terlebih dahulu, kedua, clock
langsung dihubungkan ke pin CLK . Berdasarkan tabel hasil percobaan bisa
dilihat output yang terbentuk setelah mengklik button pada pin CLK
membentuk bilangan biner angka decimal 0 sampai 15. Saat pin CLK diatur
menggunakan clock 1 Hz, maka output yang keluar tetap sama dengan tabel
hasil percobaan, bedanya hanya kita tidak perlu merubah posisi pin CLK
(otomatis).

7.2 Analisa Hasil Percobaan Ripple (Asynchronous) Down Counter


Pada percobaan Ripple Down Counter meggunakan IC 74LS112
sebanyak 4 buah. Hampir mirip dengan rangkaian Ripple Up Counter, hanya
saja pin CLK IC 74LS112 kedua, ketiga, dan keempat dihubungkan ke pin
̅ bukan ke pin output Q. Untuk pengatur pin CLK di IC 74LS112 kesatu,
output Q
pertama menggunakan clock 1 Hz yang dihubungkan dengan button terlebih
dahulu, kedua, clock langsung dihubungkan ke pin CLK. Berdasarkan tabel
hasil percobaan bisa dilihat output yang terbentuk setelah mengklik button pada
pin CLK membentuk bilangan biner angka decimal dari 15 sampai 0. Saat pin
CLK diatur menggunakan clock 1 Hz, maka output yang keluar tetap sama
dengan tabel hasil percobaan, bedanya hanya kita tidak perlu merubah posisi
pin CLK (otomatis).

7.3 Analisa Hasil Percobaan Paralel (sinkron) counter


Pada percobaan Paralel Counter menggunakan IC 74LS112 dengan
tambahan dua Gerbang NAND dengan IC 74LS08. Untuk pengatur pin CLK di
IC 74LS112 kesatu, pertama menggunakan saklar dua arah dan yang kedua
menggunakan digital clock 1 Hz. Berdasarkan tabel hasil percobaan bisa dilihat
output yang terbentuk setelah merubah-rubah saklar pada pin CLK membentuk
bilangan biner angka decimal 0 sampai 15. Saat pin CLK diatur menggunakan
digital clock 1 Hz, maka output yang keluar tetap sama dengan tabel hasil
percobaan menggunakan saklar dua arah, bedanya hanya kita tidak perlu merubah
posisi pin CLK (otomatis).

7.4 Analisa Hasil Percobaan BCD/Decade Up/Down Counter


Pada pecobaan Percobaan BCD/Decade Up/Down Counter menggunakan
tipe IC 74LS190.
Pada mode operasi parallel load pin PL diberi nilai 0, pin CE dan -U/D
akan berlaku ‘don’t care’, Output O0, O1, O2, dan O3 yang dihasilkan akan
sesuai dengan nilai input P yang masuk, misal jika P0 dan P1 bernilai 1, maka
output O0 dan O1 akan bernilai 1, dengan output RC bernilai tetap 1 dan output
TC bernilai tetap 0.
Pada mode operasi count up diberi dua tabel input 0000 dan 0011 dengan
kondisi pin yang sama yaitu pin PLbernilai 1, pin CE dan -U/D sama-sama

31
bernilai 0, dari tabel percobaan kedua input meghasilkan output yang sama,
output menampilkan angka biner decimal 0-8, output RC bernilai 1 dan TC
bernilai 0, namun saat output menampilkan angka biner desimal 9, output RC
akan bernilai 0 dan output TC bernilai 1, jika kita klik trigger-nya maka hasil
output akan mulai lagi dari posisi awal, semua output bernilai 0 kecuali output
RC.
Pada mode operasi count down diberi tabel dua input, 1111 dan 1100
dengan pin kedua tabel tersebut sama yaitu pin PL dan -U/D bernilai 1 ,
sedangkan pin CE bernilai 0. Mode operasi count dow sedikit berbeda dengan
mode operasi count up, bedanya hanya output yang dihasilkan akan membentuk
angka biner decimal dari 9 ke 0. Saat setelah output menampilkan hasil 0000
dengan output RC bernilai 0 dan TC bernilai 1, semua akan dimulai dari awal
lagi.
Pada mode operasi Hold dengan pin PL diberi nilai 1 dan pin CE juga
diberi nilai 1, sesuai dengan tabel hasil percobaannya semua output akan
bernilai 0 kecuali output RC, terlepas mau bagaimanapun kondisi inputnya.

7.5 Analisa Hasil Percobaan Percobaan Binary Up/Down Counter


Pada pecobaan Percobaan Binary Up/Down Counter menggunakan tipe
IC 74LS191.
Pada mode operasi parallel load pin PL diberi nilai 0, pin CE dan -U/D
akan berlaku ‘don’t care’, Output O0, O1, O2, dan O3 yang dihasilkan akan
sesuai dengan nilai input P yang masuk, misal jika P0 dan P1 bernilai 1, maka
output O0 dan O1 akan bernilai 1, dengan output RC bernilai tetap 1 dan output
TC bernilai tetap 0.
Pada mode operasi count up diberi dua tabel input 0000 dan 0011 dengan
kondisi pin yang sama yaitu pin PL bernilai 1, pin CE dan -U/D sama-sama
bernilai 0, dari tabel percobaan kedua input meghasilkan output yang sama,
output menampilkan angka biner decimal 0-15, output RC bernilai 1 dan TC
bernilai 0, namun saat output menampilkan angka biner desimal 15, output RC
akan bernilai 0 dan output TC bernilai 1, jika kita klik trigger-nya maka hasil
output akan mulai lagi dari posisi awal, semua output bernilai 0 kecuali output
RC.
Pada mode operasi count down diberi tabel dua input, 1111 dan 1100
dengan pin kedua tabel tersebut sama yaitu pin PL dan -U/D bernilai 1 ,
sedangkan pin CE bernilai 0. Mode operasi count dow sedikit berbeda dengan
mode operasi count up, bedanya hanya output yang dihasilkan akan membentuk
angka biner decimal dari 15 ke 0. Saat setelah output menampilkan hasil 0000
dengan output RC bernilai 0 dan TC bernilai 1, semua akan dimulai dari awal
lagi.

32
Pada mode operasi Hold dengan pin PL diberi nilai 1 dan pin CE juga
diberi nilai 1, sesuai dengan tabel hasil percobaannya semua output akan
bernilai 0 kecuali output RC, terlepas mau bagaimanapun kondisi inputnya.

7.6 Analisa Hasil Percobaan Percobaan Paralel Input Paralel Output


(PIPO)
Pada percobaan Paralel Input Paralel Output menggunakan tipe IC
74HC574.Dari tabel hasil percobaan saat pin OE diberi nilai 1(HIGH), maka
rangkaian tidak akan bekerja dengan normal. Berdasarkan tabel hasil percobaan
nilai output akan selalu mengikuti nilai inputnya, misal jika kita memberi nilai
1(HIGH) pada input I0, I1, dan I2 sedangkan input lain diberi nilai 0(LOW),
saat di klik triggernya maka hanya out D0,D1, dan D2 yang bernilai 1(HIGH)
lalu jika kita klik lagi triggernya, maka hanya output D0’,D1’, dan D2’ yang
bernilai 1(HIGH).

7.7 Analisa Hasil Percobaan Percobaan Serial Input Paralel Output


(SIPO)
Pada percobaan Serial Input Paralel Output (SIPO) menggunakan tipe IC
74LS164. Dari tabel hasil percobaan saat pin Enable diberi nilai 0(LOW), maka
rangkaian tidak bekerja dengan normal. Saat pin Enable, Reset, dan Data diberi
nilai 1(HIGH), lalu kita klik pada trigger maka output Q0 akan bernilai
1(HIGH) dan output lain akan bernilai 0(LOW).Berdasarkan tabel output akan
menghasilkan nyala lampu selang seling saat kita mengklik tombol trigger
seiring kita merubah posisi pin Data, jika kita tidak merubah pin data maka
output akan menyala secara berurutan, mulai dari output Q0 sampai Q7

7.8 Analisa Hasil Percobaan Percobaan Paralel To serial Shift Register


Pada percobaan Paralel to Serial Shift Register menggunakan tipe IC 74
LS165, menggunakan NE555 sebagai monostable multivibrator juga DIP
Switch 3 untuk saklarnya. Dari tabel hasil percobaan, untuk semua data akan
menghasilkan keluaran atau output yang sama, yaitu output Q bernilai 0(LOW)
dan output -Q bernilai 1(HIGH), namun pada percobaan jika kita merubah
posisi pin PL, maka keluaran atau output akan berubah menjado output Q
bernilai 1(HIGH) dan output -Q bernilai 0(LOW).

33
8. Jawaban Pertanyaan
1. Pada sinkron counter, pemicuan counter terjadi serempak (dipicu oleh satu
sumber clock) susunan flip flop nya paralel. Sedangkan pada asinkron counter,
minimal ada satu flip flop dipicu oleh keluaran flip flop lain atau dari sumber
clock lain dan susunan flip flop nya seri.

2. Up Counter adalah jenis counter yang dapat menghitung dengan urutan dari
bawah ke atas, juga bisa diartikan sebagai serangkaian flip-flop yang di
hubungkan secara seri dengan cara output flip-flop yang pertama menjadi input
flip-flop berikutnya

Pulsa dari clockmenjadi iput untuk flip-flop yang pertama dan akan
menyebabkan perubahan padakondisi output untuk saat yang di kehendaki
(correct edge).
Pada rangkaian di atas, input J dan K dari seluruh flip-flop dibuat dalam
keadaan 1. Sebelum pulsa pertama yang akan dihitung masuk ke input, maka
seluruh output counter L4, L3, L2 dan L1 dibuat 0 terlebih dahulu dengan jalan
membuat clear dalam keadaan 0 walaupun sesaat.
Pada saat pulsa pertama bergerak dari 1 ke 0, maka output flip-flop A akan
berubah dari 0 ke 1, Ouput B akan tetap karena sinyal yang masuk pada input
clock berubah dari 0 ke 1. Flip ke 3 dan 4 juga tidak mengalami perubahan
karena belum ada perubahan pada input clocknya. Jadi dapat disimpulakan
bahwa sesudah pulsa pertama datang keadaan ouput L4, L3, L2, L1 adalah
0001.

3. Prinsip kerja dari counter ini adalah kebalikan dari up counter, yaitu
menghitung bilangan biner dengan urutan mulai dari atas ke bawah (dari besar
ke kecil). Prinsip kerjanyapun tidak jauh berbeda dari up counter. Bedanya
hanya setiap output flip-flop diambil dari output Q, sedangkan input clocknya
dihubungkan dengan ouput not Q dari flip-flop sebelumnya.
Sebelum pulsa pertama datang dan masuk ke input, seluruh output counter
Q3,2,1,0 dibuat 0 dengan menggunakan direct clear walaupun sebentar saja.
Pada saat pulsa pertama bergerak dari 1 ke 0, maka output flip-flop 0 akan
berubah menjadi 1. Not Q flip-flop A berubah dari 1 ke 0 juga. Hasil perubahan
ini akan masuk ke flip-flop 1 sehingga menyebabkan output Q2 menjadi 1. Hal
yang sama juga terjadi pada flip-flop 2 dan 3 sehingga output mereka berubah

34
menjadi 1. Jadi sesudah pulsa pertama masuk output counter akan berubah
menjadi 1111.
Ketika pulsa ke dua masuk (berubah dari 1 ke 0), maka output flip-flop
pertama akan berubah dari 1 ke 0 yang berarti output not Q nya juga berubah
dari 0 ke 1. perubahan output not Q ini akan diteruskan ke flip-flop yang kedua.
Tetapi tidak akan menyebabkanperubahan pada flip-flop ke dua (Q flip-flop ke
dua masih tetap 1). Hal yang sama juga terjadi pada flip ketiga dan keempat.
Jadi pada pulsa yang kedua ini output dari keempat flip-flop tersebut adalah
1110.
Demikianlah seterusnya sampai pulsa ke 15 sehingga ouputnya menjadi
0001. Ketika pulsa ke 16 datang output rangkaian berubah menjadi 0000. Jadi
rangkaian ini merupakan rangkaian pencacah (counter) dari nilai tertinggi (atas)
ke nilai terendah (bawah) yaitu dari 1111 sampai 0000

4. Perbedaan Mode Parallel Load dan Mode Count Up


1. Pada mode parallel, output akan sama atau mengikuti input nya, sesuai
dengan artinya parallel atau serentak, misal inputnya bernilai 0000 maka
outputnya pun akan 0000, sedangkan pada mode Count up, output akan
menghasilkan atau membentuk desimal bilangan biner secara berurutan
dari kecil ke besar

2. Pada mode parallel load tidak terdapat reset atau pengulangan hasil
output seperti pada mode count up, pada mode count up jika sudah
mencapai desimal bilangan biner tertentu, maka akan terjadi reset atau
pengulangan dari awal yaitu decimal biner 0

5. IC 74165 merupakan register geser PISO dengan inputan paralel dan output
berupa seri. IC ini menggunakan 8 buah SR-FF. perbedaan dengan register
Iainnya hanyalah input FF dipasang parallel. Data akan dibaca saat clock naik,
Clock Inhibit aktif high, dan Shift Load aktif low. Dan data akan dikeluarkan
saat clock turun, Clock Inhibit aktif low, dan Shift Load aktif high.
IC 74165 merupakan register geser Parallel input serial output (PISO). Yang
jika nilai inputnya diberikan secara serentak pada kedelapan input, maka
keluarannya berupa data serial pada QA. pada saat pembacaan dan pengeluaran
data terdapat beberapa aturan yang harus dilakukan terlebih dahulu. pada
output, input A sebagai LSB sedangkan input H sebagai MSB.

35
6. Pada percobaan SIPO diatas menggunakan IC 74LS164, pada data pertama
pin Enable diberi logika 0 (LOW), sedangkan pin RST (Reset), DATA, dan
CLK berlogika X (don’t care), semua output dari Q0-Q7 menghasilkan nilai
logika tetap 0 (LOW), lalu pada data kedua pin RST diberi nilai logika 1
(HIGH), sedangkan pin Enable, DATA, dan CLK berlogika X ( don’t care ),
meski pin RST diberi logika 1(HIGH), semua output dari Q0-Q7 menghasilkan
nilai tetap logika 0, karena reset berarti mengembalikan ke posisi awal yang
berarti semua output kembali menjadi 0(LOW).

7. Rangkaian shift register dengan IC 74LS164 ini sangat basic dan vital dalam
pembuatan LED Sign / Papan Nama Digital. Register geser (shift register)
merupakan salah satu piranti fungsional yang banyak digunakan dalam sistem
digital. Tampilan pada layar kalkulator dimana angka bergeser ke kiri setiap
kali ada angka baru yang diinputkan menggambarkan karakteristik register
geser tersebut. Register geser ini terbangun dari flip-flop. Register geser dapat
digunakan sebagai memori sementara, dan data yang tersimpan didalamnya
dapat digeser ke kiri atau ke kanan.
Berikut contoh rangkaian Running LED dengan IC 74LS164:

Rangkaian 8 LED berjalan adalah dasar untuk membuat 8 huruf LED.


Sedikit berbeda dengan running LED dengan IC 4017 (decade counter), 8
running led ini menyala secara bergiliran, tetapi yang sudah nyala sebelumnya
tidak mati ketika led setelahnya menyala. 8 led akan mati setelah led ke-8
menyala. Sedang pada running led (decade counter), sistem nyala led seperti
"titik", hanya ada satu led yang menyala di antara kesepuluh led. Komponen
utama adalah IC 74LS164 (SHIFT REGISTER), dengan pewaktunya adalah
rangkaian astable multivibrator (menggunakan IC NE555). IC 555 merupakan
IC pewaktu (timer). IC 555 berfungsi sebagai penggerak IC 74ls164. IC ini
mengeluarkan denyutan (pulse) high dan low secara bergantian. Saat VCC ON,
Semua Led menyala bersama-sama.Kemudian IC NE 555 bekerja, IC 555
merupakan IC pewaktu (timer). IC 555 berfungsi sebagai penggerak IC 74ls164
sebagai IC Shift register. IC ini mengeluarkan denyutan (pulse) high dan low
secara bergantian.Sehingga saat clock diberikan LED yang semula menyala
semua,mengakibatkan LED 1 mati sedangkan LED yang lainnya masih
menyala.Saat Clock ke-2 diberikan LED 2 mati,sedangkan LED 3,4,5,6,7,dan

36
8 masih menyala.Saat Clock ke-3 diberikan LED 3 mati,sedangkan LED yang
menyala adalah LED 4,5,6,7,dan 8.Dan selalu bergeser terus saat clock
diberikan hingga LED 8.Pada saat LED 8 diclock mengakibatkan kembali ke
posisi awal yaitu LED menyala semua.
Jadi prinsip kerja rangkain ini, 8 led akan mati setelah led ke-8 menyala,
Komponen utama adalah IC 74LS164 (SHIFT REGISTER), dengan
pewaktunya adalah rangkaian astable multivibrator (menggunakan IC NE555).
IC 555 merupakan IC pewaktu (timer). IC 555 berfungsi sebagai penggerak IC
74ls164. IC ini mengeluarkan denyutan (pulse) high dan low secara bergantian.
Buktinya terdapat pada output Led berwarna kuning dan hjau yang menyala
secara berkelap-kelip.

8. A decade counter mempunyai 1 sampai 10 counts (desimal 0 sampai 9 atau


biner 0000 sampai 1001) and sehingga sering disebut dengan counter modulo.
Counter modulo atau MOD adalah pencacah cascaded rangkaian yang
menghitung ke nilai modulus yang ditetapkan sebelum mengatur ulang.
Tugas pencacah atau counter adalah menghitung dengan memajukan isi
pencacah dengan satu pencacahan dengan setiap pulsa clock. Pencacah yang
memajukan urutan angka atau status saat diaktifkan oleh input clock dikatakan
beroperasi dalam mode "hitung". Demikian juga, Pencacah (counter) yang
mengurangi urutan angka atau keadaan saat diaktifkan oleh input clock
dikatakan beroperasi dalam mode "hitung mundur". Pencacah yang beroperasi
dalam mode ATAS dan BAWAH, disebut pencacah dua arah (Counter Bi-
directional).
Kemudian Pencacah (counter) adalah perangkat logika berurutan yang
mengikuti urutan keadaan pencacahan yang ditentukan sebelumnya yang dipicu
oleh sinyal clock eksternal (CLK). Jumlah keadaan atau pencacahan urutan
melalui mana pencacah tertentu maju sebelum kembali sekali lagi kembali ke
keadaan pertama aslinya disebut modulo (MOD). Dengan kata lain, modulus
(atau hanya modulo) adalah jumlah status pencacah yang dihitung dan
merupakan jumlah pembagi pencacah. Pencacah (counter) Modulus, atau hanya
pencacah MOD, ditentukan berdasarkan jumlah status yang akan dilacak oleh
pencacah sebelum kembali ke nilai aslinya.
Sebagai contoh, pencacah (counter) 2-bit yang dihitung dari 002 hingga 112
dalam biner, yaitu 0 hingga 3 dalam desimal, memiliki nilai modulus 4 (00 →1
→10 →11, dan kembali ke 00) Oleh karena itu disebut sebagai counter modulo-
4, atau mod-4, counter. Perhatikan juga bahwa diperlukan empat pulsa clock
untuk mendapatkan dari 00 hingga 11. Seperti dalam contoh sederhana ini
hanya ada dua bit, (n = 2) maka jumlah maksimum status output yang mungkin

37
(modulus maksimum) untuk pencacah atau counter adalah: 2n = 22 atau 4.
Namun, pencacah dapat dirancang untuk menghitung hingga sejumlah keadaan
2n dalam urutannya dengan menyatukan berbagai tahap pencacahan untuk
menghasilkan modulo tunggal atau counter MOD-N.
Oleh karena itu, pencacah (counter) "Mod-N" akan membutuhkan "N"
jumlah flip-flop yang terhubung bersama untuk menghitung bit data tunggal
sambil memberikan 2n status output yang berbeda, (n adalah jumlah bit).
Perhatikan bahwa N selalu merupakan nilai integer keseluruhan. Kita dapat
melihat bahwa counter MOD memiliki nilai modulo yang merupakan kekuatan
integral dari 2, yaitu, 2, 4, 8, 16 dan seterusnya untuk menghasilkan counter n-
bit tergantung pada jumlah flip-flop yang digunakan, dan bagaimana mereka
terhubung, menentukan jenis dan modulo dari Pencacah (counter).

9. Counter UP Modulo 12

Pencacah Modulus , atau hanya pencacah MOD, ditentukan berdasarkan


jumlah status yang akan dilalui pencacah sebelum kembali ke nilai aslinya.
Misalnya, pencacah 2-bit yang menghitung dari 002 hingga 112 dalam biner,
yaitu 0 hingga 3 dalam desimal, memiliki nilai modulus 4 (00 → 1 → 10 → 11,
dan kembali ke 00) sehingga akan menjadi disebut penghitung modulo-4, atau
mod-4. Perhatikan juga bahwa dibutuhkan empat pulsa clock untuk beralih dari
00 ke 11.

9. kesimpulan
1. Pada percobaan Ripple Up Counter dan Ripple Down Counter bisa dilihat
bahwa kedua jenis Counter memiliki prinsip kerja terbalik. Pada percobaan
Ripple Up Counter semua outputnya menghasilkan atau membentuk biner
angka decimal 0 sampai 15, sedangkan Ripple Down Counter semua outputnya
menghasilkan atau membentuk biner angka decimal 15 sampai 0. Dengan
menggunakan digital clock, maka rangkaian bisa dijalankan secara otomatis.
Ripple Counter bisa disebut serial counter karenaoutput masing-masing flip-
flop yang digunakan akan bergulingan(berubah kondisi dari 0 ke 1 atau
sebaliknya)secara berurutan.Hal ini karena flip-flop yang paling ujung saja
yang dikendalikan sinyal clock,sedangkan sinyal lainnya diambil dari masing-
masing flip-flop sebelunmnya.

38
2. Pada percobaan Paralel Counter sedikit berbeda dengan Ripple Up atau
Ripple Down Counter, pada percobaan Paralel Counter semua pin CLK dari
setiap flip-flop digabungkan menjadi 1. Paralel Counter di buat untuk mencegah
kelambatan pemacuan semua flip-flop. Penyacah sinkron responnya serempak
dengan datangnya pulsa clock, sehingg a cocok untuk dioperasikan dalam
kecepatan tinggi atau frekuensi tinggi. Untuk menunjang operasinya yang cepat,
pencacah sinkron atau ripple counter masih memerlukan gerbang-gerbang
tambahan.

3. Pada percobaan BCD/Decade Up/Down Counter dan juga percobaan Binary


Up/Down Counter terdapat 4 mode operasi yaitu parallel load atau disebut
Preset (Asinkron), Count Up, Count Down, dan Hold atau disebut No Change.
Dari percobaan itu output TC seperti penanda, jika dia menyala, setelahnya akan
terjadi pengulangan atau reset. Hanya saja percobaan Decade Up menampilkan
output angka biner decimal 0-9 atau sebaliknya, sedangkan Binary up
menampilkan output 0-15 atau sebaliknya.

4. Pada percobaan Register, ada percobaan PIPO, SIPO, dan Parallel to Serial.
Dari hasil percobaan tersebut bisa dilihat bahwa Parallel Input Parallel Output
(PIPO) bisa diartikan sebagai register geser dengan masukan serentak keluaran
serentak, Serial Input Parallel Output (SIPO) bisa diartikan sebagai register
geser dengan masukan berurutan keluaran serentak. Sedangkan Parallel to
Serial bisa diartikan sebagai register geser dengan masukkan serentak dan
keluaran data berurutan.

39
10. Lampiran
10.1 Daftar Pustaka
➢ Agastya. 2015. “PENGERTIAN REGISTER”,
https://agastya13.weebly.com/sistem-komputer/register, diakses pada
tanggal 19 Desember 2020.
➢ https://elektronika-dasar.web.id/register-geser-parallel-ke-serial-
parallel-to-serial-shift-register/, diakses pada tanggal 19 Desember
2020.
➢ Shingote, Sanket. 2018. “mod 12 asynchronous counter using jk
flip flop”, https://www.ques10.com/p/33045/mod-12-asynchronous-
counter-using-jk-flip-flop/, diakses pada tanggal 21 Desember 2020.
➢ Abdul. 2019. “Pencacah Counter Modulo (MOD)”,
https://abdulelektro.blogspot.com/2019/06/pencacah-counter-
modulo-mod.html, diakses pada tanggal 21 Desember 2020.
➢ https://datasheetspdf.com/pdf-
file/248161/STMicroelectronics/74164/1, diakses pada tanggal 22
Desember 2020.
➢ https://dokumen.tips/documents/perbedaan-counter-sinkron-dengan-
asinkron-adalah-dari-pemberian-sumber-detak.html, diakses pada
tanggal 23 Desember 2020.
➢ Aditya, Rizki. 2011. “Tutorial Teknik Digital : Rangkaian
Pencacah (Counter)”, https://adityarizki.net/tutorial-teknik-digital-
rangkaian-pencacah-counter/, diakses pada tanggal 23 Desember
2020.
➢ Baskara. 2014. “Rangkaian Counter Dengan JK-Flip Flop”,
http://baskarapunya.blogspot.com/2014/03/rangkaian-counter-
dengan-jk-flip-flop.html, diakses pada tanggal 23 Desember 2020.
➢ https://id.scribd.com/doc/115421443/Analisa-Berbagai-IC-
Mikroprosesor, diakses pada tanggal 23 Desember 2020.
➢ https://www.researchgate.net/publication/320126109_DASAR-
DASAR_TEKNIK_DIGITAL_SEMESTER_III_PROGRAM_STU
DI_TEKNIK_LISTRIK_JURUSAN_ELEKTRO_POLITEKNIK_N
EGERI_MALANG, diakses pada tanggal 23 Desember 2020.
➢ Bangdek. 2018. “Pencacah/Counter”,
https://www.slideshare.net/bangdek/bab-5-counter, diakses pada
tanggal 23 Desember 2020.
➢ https://elektronika-dasar.web.id/synchronous-up-down-counter/,
diakses pada tanggal 21 Desember 2020.
➢ https://teknowledge1.blogspot.com/2018/05/pengertian-fungsi-dan-
macam-macam-jenis.html, diakses pada tanggal 20 Desember 2020.

10.2 File Percobaan

Anda mungkin juga menyukai