Anda di halaman 1dari 19

LAPORAN PRAKTIKUM JOB II

GERBANG LOGIKA DASAR DENGAN MENGGUNAKAN

PLC

Disusun oleh:

Nama : Gita Ayu Juliantika


Kelas : 4 EA
NPM : 062230320557

Dosen Pengampu : Dewi Permata Sari, S.T., M. Kom.

JURUSAN TEKNIK ELEKTRO


PROGRAM STUDI DIII TEKNIK ELEKTRONIKA
POLITEKNIK NEGERI SRIWIJAYA
2024
Kata Pengantar

Puji syukur atas rahmat Allah STW.Berkat rahmat serta karunia-Nya


sehingga laporan dengan judul ”Gerbang Logika Dasar Menggunakan
PLC”dapat selesai.

Makalah ini dibuat dengan tujuan memenuhi tugas yang telah diberikan
oleh ibu Dewi Permata Sari,S.T.,M.Kom. selaku dosen mata kuliah Praktikum
Otomasi Industri.Selain itu, penyusunan laporan ini bertujuan menambah
wawasan kepada pembaca tentang Programmable Logic Controller atau PLC.

Penulis menyampaikan ucapan terima kasih kepada Ibu Dewi Permata


Sari,S.T.,M.Kom.selaku dosen mata kuliah Praktikum Otomasi Industri.Berkat
tugas yang diberikan ini,dapat menambah wawasan penulis berkaitan dengan
topik yang diberikan.

Penulis menyadari bahwa dalam penyusunan dan penulisan masih


melakukan banyak kesalahan.Oleh karena itu penulis memohon maaf atas
kesalahan dan ketidak sempurnaan yang pembaca temukan dalam laporan
ini.Penulis juga mengharapkan adanya kritik dan saran dari pembaca apabila
menemukan kesalahan dalam laporan ini.

Palembang,26 Februari 2024


DAFTAR ISI

A. PENDAHULUAN...........................................................................................................4
1. TUJUAN......................................................................................................................4
2. TEORI DASAR...........................................................................................................5
2.1 Latar Belakang.......................................................................................................5
2.2 Definisi...................................................................................................................5
2.3 Fungsi-fungi Logika...............................................................................................6
2.4 Jenis-jenis Gerbang Logika....................................................................................6
B. PEMBAHASAN...........................................................................................................12
1. ALAT DAN BAHAN................................................................................................12
2. LANGKAH PERCOBAAN.......................................................................................12
3. HASIL PERCOBAAN...............................................................................................17
C. PENUTUP.....................................................................................................................17
1. ANALISA..................................................................................................................17
2. KESIMPULAN..........................................................................................................18
DAFTAR PUSTAKA........................................................................................................20
A. PENDAHULUAN
1. TUJUAN
Setelah mempelajari materi ini,mahasiswa diharapkan:
- Memiliki ilmu pengetahuan dan keterampilan untuk mengidentifikasi;
- Dapat menjelaskan karakteristik ladder diagram untuk gerbang dasar
logika;
- Dapat menjalankan dan merancang program ladder diagram untuk
gerbang dasar logika pada aplikasi twido suite.

2. TEORI DASAR
2.1 Latar Belakang
“Gerbang logika adalah suatu entitas dalam elektronika dan
matematika boolean yang mengubah satu atau beberapa masukan logik
menjadi sebuah sinyal keluaran logik. Gerbang logika terutama
diimplementasikan secara elektronis menggunakan dioda atau
transistor, akan tetapi dapat pula dibangun menggunakan susunan
komponen-komponen yang memanfaatkan sifat-sifat elektromagnetik
(relay). Logika merupakan dasar dari semua penalaran (reasoning).
Untuk menyatukan beberapa logika, kita membutuhkan
operator logika dan untuk membuktikan kebenaran dari logika, kita
dapat menggunakan tabel kebenaran. Tabel kebenaran menampilkan
hubungan antara nilai kebenaran dari proposisi atomik. Dengan tabel
kebenaran, suatu persamaan logika ataupun proposisi bisa dicari nilai
kebenarannya. Tabel kebenaran pasti mempunyai banyak aplikasi yang
dapat diterapkan karena mempunyai fungsi tersebut. Salah satu dari
aplikasi tersebut yaitu dengan menggunakan tabel kebenaran kita dapat
mendesain suatu rangkaian logika. Dalam makalah ini akan dijelaskan
bagaimana peran dan kegunaan tabel kebenaran dalam proses
pendesainan suatu rangkaian logika.
2.2 Definisi
Gerbang dasar logika merupakan bentuk gambaran yang
mengkombinasikan masukan– masukan sinyal digital menjadi satu
keluaran digital yang baru. Dalam elektronika digital bilangan
matematika yang digunakan adalah adalah bilangan Biner. Bilangan ini
hanya terdiri dari dua sistem bilangan yaitu “0“ dan “1“, berbeda
dengan bilangan desimal yang memiliki 10 sistem bilangan mulai “0“
sampai dengan “9“. Pada elektronika digital angka “0“ pada bilangan
biner mewakilkan tingkat tegangan rendah (dibawah 1V) dan angka
“1“ mewakilkan tingkat tegangan tinggi (antara 3V s.d. 5V).

2.3 Fungsi-fungi Logika


Banyak situasi kontrol yang mengharuskan dilakukannya
tindakan-tindakan pengontrolan dilaksanakan ketika suatu kombinasi
dari kondisi tertentu terpenuhi. Situasi semacam ini melibatkan
fungsi-fungsi logika. Gerbang dasar logika merupakan suatu piranti
elektronik berlogika biner dengan beberapa saluran masukan dan satu
saluran keluaran. Jenis-jenis gerbang logika adalah AND, OR,
NAND, NOR, NOT, XOR, dan XNOR. Ketujuh jenis gerbang-
gerbang logika ini memiliki karakteristik dan fungsi yang berbeda-
beda. PLC menyediakan pemrograman gerbang dasar logika dengan
cara menyusun input- input switch, baik itu berjenis normal open
(NO) maupun normal close (NC). Gerbang-gerbang logika
mempunyai dua masukan, masing-masing mempunyai nilai biner
yang mempresentasikan suatu nilai logika yaitu true dan false.
2.4 Jenis-jenis Gerbang Logika
2.4.1 Gerbang Logika Dasar
A. Gerbang Not (Not Gate)
“Gerbang NOT” atau juga bisa disebut dengan pembalik
(inverter) memiliki fungsi membalik logika tegangan inputnya
pada outputnya. Sebuah inverter (pembalik) adalah gerbang dengan
satu sinyal masukan dan satu sinyal keluaran dimana keadaan
keluaranya selalu berlawanan dengan keadaan masukan. Membalik
dalam hal ini adalah mengubah menjadi lawannya. Karena
dalam logika tegangan hanya ada dua kondisi yaitu tinggi dan
rendah atau “1” dan “0”, maka membalik logika tegangan berarti
mengubah “1” menjadi "0” atau sebaliknya mengubah nol menjadi
satu. Simbol atau tanda gambar NOT ditunjukkan pada gambar
dibawah ini.

Diagram ladder gerbang NOT ditunjukkan pada gambar di bawah.

B. Gerbang OR (OR Gate)


Gerbang OR berbeda dengan gerbang NOT yang hanya memiliki
satu input, gerbang ini memiliki paling sedikit 2 jalur input.
Artinya inputnya bisa lebih dari dua, misalnya empat atau delapan.
Yang jelas adalah semua gerbang logika selalu mempunyai hanya
satu output.Gerbang OR akan memberikan sinyal keluaran tinggi
jika salah satu atau semua sinyal masukan bernilai tinggi, sehingga
dapat dikatakan bahwa gerbang OR hanya memiliki sinyal
keluaran rendah jika semua sinyal masukan bernilai rendah.
Simbol atau tanda gambar OR ditunjukkan pada gambar dibawah
ini.

Diagram ladder gerbang OR ditunjukkan pada gambar di bawah.

C. Gerbang AND (AND Gate)


Gerbang AND adalah suatu rangkaian logika yang mempunyai
beberapa jalan masuk (input) dan hanya mempunyai satu jalan
keluar (output). Gerbang AND mempunyai dua atau lebih dari dua
sinyal masukan tetapi hanya satu sinyal keluaran. Dalam gerbang
AND, untuk menghasilkan sinyal keluaran tinggi maka semua
sinyal masukan harus bernilai tinggi. Simbol atau tanda gambar
AND ditunjukkan pada gambar dibawah ini.
Diagram ladder gerbang AND ditunjukkan pada gambar di bawah.

2.4.2 Gerbang Logika Kombinasi


A. Gerbang NOR
Gerbang NOR adalah suatu NOT-OR, atau suatu fungsi OR yang
dibalikkan sehingga dapat dikatakan bahwa gerbang NOR akan
menghasilkan sinyal keluaran tinggi jika semua sinyal masukanya
bernilai rendah. Simbol atau tanda gambar NOR ditunjukkan pada
gambar dibawah ini.

Diagram ladder gerbang NOR ditunjukkan pada gambar di bawah.


B. Gerbang NAND
Gerbang NAND adalah suatu NOT-AND, atau suatu fungsi AND
yang dibalikkan. Dengan kata lain bahwa gerbang NAND akan
menghasilkan sinyal keluaran rendah jika semua sinyal masukan
bernilai tinggi. Simbol atau tanda gambar NAND ditunjukkan pada
gambar dibawah ini.

Diagram ladder gerbang NAND ditunjukkan pada gambar di


bawah.

C. Gerbang X-OR
Gerbang X-OR akan menghasilkan sinyal keluaran rendah jika
semua sinyal masukan bernilai rendah atau semua masukan bernilai
tinggi atau dengan kata lain bahwa X-OR akan menghasilkan
sinyal keluaran rendah jika sinyal masukan bernilai sama semua.
Simbol atau tanda gambar X-OR ditunjukkan pada gambar dibawah
ini.

Diagram ladder gerbang X-OR ditunjukkan pada gambar di bawah.

D. Gerbang X-NOR
Gerbang X-NOR akan menghasilkan sinyal keluaran tinggi jika
semua sinyal masukan bernilai sama (kebalikan dari gerbang X-
OR). Simbol atau tanda gambar X-NOR ditunjukkan pada gambar
dibawah ini.
Diagram ladder gerbang X-NOR ditunjukkan pada gambar di
bawah.

B. PEMBAHASAN
1. ALAT DAN BAHAN

1. PC komputer 1 buah
2. Modul PLC Trainer 1 buah
3. Software PLC Twido Suite 1 buah
4. Perangkat kabel konektor secukupnya

2. LANGKAH PERCOBAAN
1. Pastikan kabel programming USB sudah terpasang di PC/Laptop
2. Buka software Twido Suite(Klik ganda Icon Twido Suite di Desktop)
3. Klik “Programming Mode”:

4. Klik “Create a new project”:


5. Isi nama project,kemudian klik ”Create”:

6. Klik “Decribe”:

7. Ganti tipe PLC menjadi TWDLCAE40DRF,tambahkan modul


tambahan,Analog Expansion TM2AMM3HT dan TM2ALM3LT
8. Setting IP address PLC,klik ganda pada konektor RJ-45:

9. Masukkan IP address nya (misal IP:192.168.0.20,subnet 255.255.255.0):


10. Klik program:

11. Klik program di kanan atas:

12. PLC siap diprogram

13. Klik add a section:

14. Buat program sederhana,menggunakan 1 contact dan 1 coil:

15. Klik debug:


16. Pilih COM yang sesuai ,kemudian klik OK:

17. Klik transfer PC ==> Controller,kemudian klik OK:

18. Proses running:

19. Klik tombol RUN untuk menjalankan program,kemudian klik OK:


20. Program sudah dapat dijalankan.

3. HASIL PERCOBAAN

C. PENUTUP
1. ANALISA
Banyak situasi kontrol yang mengharuskan tindakan-
tindakan pengontrolan dilaksanakan ketika suatu kombinasi dari
kondisi tertentu terpenuhi.Situasi semacam ini melibatkan fungsi-
fungsi logika.Gerbang dasar logika meruoakan suatu piranti
elektronik berlogika biner dengan beberapa saluran dan masukan
dan satu saluran keluaran.Jenis-jenis gerbang dasar logika adalah
AND, NAND, OR, NOR, XOR, X-NOR, dan NOT. Keutujuh jenis
gerbang-gerbang logika ini memiliki karakteristik dan fungsi yang
berbeda-beda. PLC menyediakan pemrograman gerbang dasar
logika dengan cara menyusun input-input switch, baik itu berjenis
normally open (NO) maupun normally close (NC). Gerbang-
gerbang logika mempunyai dua masukan, masing-masing
mempunyai nilai biner yang mempresentasikan suatu nilai logika
yaitu true dan false

2. KESIMPULAN
Sebuah gerbang AND dapat diwujudkan dengan dua buah
kontak masing-masing jenis NO yang dihubungkan secara seri.
Dengan demikian keluaran merupakan kondisi antara kedua ujung
kontak, jika terhubung menunjukkan keluaran berlogika 1, jika
terbuka keluaran berlogika 0. Pada gerbang AND ini keluaran akan
berlogika 1 (terhubung) jika kedua kontak A dan B masing-masing
ditekan atau berlogika 1. Sebuah Sebuah gerbang OR diwujudkan
dengan dua buah kontak masing-masing jenis NO yang
dihubungkan secara paralel. Dengan demikian keluaran akan
berlogika 0 jika kedua kontaknya berlogika 0. Sebuah gerbang
NOR (NOT OR), dapat diwujudkan dengan mengubah menjadi
AND dengan menggunakan kontak jenis NC (Normally Close)
pada masing-masing masukannya. Sehingga keluarannya akan
berlogika 1 jika kedua kontaknya berlogika 0. Karena masing-
masing kontak A dan B menggunakan jenis NC, jika kedua kontak
berlogika 0 membuat keluarannya berlogika 1. Sebuah gerbang
NAND (NOT AND), dapat diwujudkan dengan mengubah OR
dengan menggunakan kontak jenis NC pada masing-masing
masukannya. Sehingga keluarannya akan berlogika 0, jika kedua
masukannya berlogika 1. Karena kedua kontak menggunakan jenis
NC, jika kedua kontak tersebut berlogika 1 akan menyebabkan
keluaran tidak terhubung atau berlogika 0.
DAFTAR PUSTAKA
- https://www.tptumetro.com/2019/10/gerbang-logika-pemrograman-
plc.html?m=1

Anda mungkin juga menyukai