Anda di halaman 1dari 9

MODUL 6 RANGKAIAN ARITMATIKA

ABDUL AZIS (2210631160023)


Asisten: Regita Aulia Safitri,Tyo Bima Pratama
Tanggal Percobaan: 18/10/2023
TLE61617- PRAKTIKUM SISTEM DIGITAL
Laboratorium Dasar Teknik Elektro – Fakultas Teknik Unsika

Abstrak adder dan cara kerjanya dalam menambahkan dua


bilangan biner satu bit [2].
Pada modul rangkaian aritmatika, kami menjalani 3 kali
percobaan berbeda yang mana setiap percobaan melakukan Kemudian, praktikan akan membahas full adder,
perancangan, simulasi, dan pengujian. Rangkaian aritmatika yang merupakan perluasan dari half adder. Full
adalah jenis rangkaian yang mampu melakukan operasi adder mampu menambahkan tiga bilangan biner
aritmatika seperti penjumlahan, pengurangan, perkalian, dan satu bit, dan ini sangat relevan dalam penjumlahan
pembagian. Tiga jenis rangkaian yang dieksplorasi adalah half biner yang lebih kompleks.
adder, full adder, dan ripple adder 4 bit. Pada setiap
Selanjutnya, praktikan akan menjelaskan ripple
percobaan praktikan merancang rangkaian secara berturt-
carry adder, yang merupakan penerapan dari full
turut yaitu half adder, full adder, dan ripple adder 4 bit sesuai
adder untuk penjumlahan beruntun bilangan
ketentuan modul yang dipakai. selanjutnya Simulasi wavefrom
biner. praktikan akan menggambarkan bagaimana
dilakukan dengan mengatur data masukan yang telah
carry-out dari satu full adder dihubungkan dengan
ditentukan dan mengamati keluaran yang dihasilkan (kecuali
carry-in dari full adder berikutnya, menciptakan
pada percobaan riplle adder). kemudian, praktikan
proses penjumlahan beruntun yang efisien.
memetakan pin rangkaian pada perangkat Altera DE1,
mengunggah hasil kompilasi, dan melakukan pengujian Pemahaman mengenai ketiga jenis rangkaian ini
langsung pada altera De1 dengan mengubah kondisi masukan akan memberikan dasar yang kuat dalam
sesuai dengan tabel pengujian yang telah ditetapkan. Data memahami bagaimana penjumlahan dan operasi
hasil percobaan (tabel kebenaran) menunjukkan bahwa setiap aritmatika dasar berfungsi pada komputer dan
rangkaian memiliki kemampuan khusus dalam operasi perangkat digital lainnya. Melalui percobaan dan
penjumlahan bit, dengan half adder menangani dua bit analisis, kami berharap dapat memperdalam
tunggal, full adder mengelola tiga input, dan ripple adder 4 bit pemahaman praktikan tentang rangkaian
memungkinkan penjumlahan angka 4 bit. Tujuan utama aritmatika.
praktikum ini yaitu untuk memahami dan menguji berbagai
jenis rangkaian aritmatika dalam konteks perhitungan biner. 2. STUDI PUSTAKA
Kata kunci: Half adder, Full adder, Riplle adder, Pada kali ini materi yang akan dibahas itu terkait
Rangkaian aritmatika rangkaian aritmatika, diamana jenisnya itu ada
banyak tetapi disini yang akan di bahas hanya
1. PENDAHULUAN terkait rangkaian adder dan 3 jenisnya yaitu half
Pada praktikum ini, praktikan akan melakukan adder, full addee dan rapple adder.
percobaan yang berkaitan dengan rangkaian
aritmatika, dengan fokus pada half adder, full 2.1 RANGKAIAN ARITMATIKA
adder, dan ripple carry adder. Rangkaian
aritmatika adalah jenis rangkaian yang mampu Rangkaian aritmatika adalah jenis rangkaian yang
melakukan operasi aritmatika seperti mampu melakukan operasi aritmatika seperti
penjumlahan, pengurangan, perkalian, dan penjumlahan, pengurangan, perkalian, dan
pembagian [1]. Tujuan praktikum ini adalah untuk pembagian. Dalam operasi biner seperti 1+1,
memahami prinsip-prinsip dasar dan operasi dari penggunaan gerbang OR tidak memadai karena
ketiga jenis rangkaian ini, yang merupakan menghasilkan nilai 1, tetapi dalam rangkaian
komponen utama dalam penjumlahan dan aritmatika, operasi ini menghasilkan biner 10 yang
perhitungan aritmatika pada komputer. setara dengan nilai desimal 2. Rangkaian-
rangkaian ini umumnya digunakan dalam
Percobaan-pertama, yaitu half adder, adalah perangkat digital seperti komputer dan kalkulator,
langkah awal dalam memahami bagaimana dan biasanya terdiri dari gerbang logika yang
penjumlahan biner sederhana dilakukan. praktikan
akan memperkenalkan konsep dasar dari half

1
Laporan Praktikum - Laboratorium Dasar Teknik Elektro – FT UNSIKA
diimplementasikan dalam IC TTL (Integrated berarti bahwa konstruksi Half adder melibatkan
Circuit Transistor Transistor Logic) [1]. satu gerbang X-OR dan satu gerbang AND [2].

Rangkaian aritmatika digital dasar terdiri dari dua Jadi, secara sederhana, Half adder adalah alat dasar
jenis utama: Adder dan Subtractor. Adder adalah dalam aritmetika biner yang memungkinkan kita
rangkaian penjumlahan yang bertugas untuk untuk menambahkan dua bit dengan
menjumlahkan dua bilangan yang telah diubah ke menghasilkan bit penjumlahan dan carry bit
dalam bentuk bilangan biner. Sementara itu, sebagai keluaran [2].
Subtractor adalah rangkaian pengurangan yang
digunakan untuk mengurangkan dua bilangan. Tabel 2-1 tabel kebenaran half adder
Kedua jenis rangkaian ini adalah elemen dasar A B CY SUM
yang sangat penting dalam pemrosesan data biner
dalam komputer dan perangkat-perangkat digital 0 0 0 0
lainnya[1]. Tetapi yang akan di bahas yaitu hanya 0 1 0 1
terkait rangkaian adder terkait Haldf adder, Full
adder dan Rapple Adder [1]. 1 0 0 1
1 1 1 0
2.2 RANGKAIAN ADDER

Rangkaian adder atau penjumlahan dalam


processor, yang mencakup Half Adder, Full Adder,
dan Ripple Carry Adder, digunakan untuk operasi
aritmatika dan logika pada data dalam format
biner. Ini merupakan komponen esensial dalam
unit aritmetika dan logika (ALU) processor. ALU
menjalankan operasi seperti penjumlahan,
pengurangan, perkalian, pembagian, serta operasi
logika seperti AND, OR, dan NOT.

Gambar 2-2 rangkaian logika half adder


2.3 HALF ADDER
Output yang diperoleh dari gerbang EX-OR
Half adder adalah rangkaian penambah yang
merupakan penjumlahan kedua bilangan tersebut
sangat sederhana. Ini digunakan dalam aritmetika
sedangkan yang diperoleh dari gerbang AND
kombinasi untuk menambahkan dua bilangan
adalah carry. Tidak akan ada penerusan
biner dan menghasilkan dua keluaran, yaitu bit
penambahan carry karena tidak ada gerbang logika
penjumlahan (sum) dan carry bit. Ini dilakukan
untuk memprosesnya. Jadi, ini disebut rangkaian
melalui rangkaian kombinasi yang disebut Half
Half Adder [3].
adder. Variabel masukan dalam Half adder adalah
dua bit yang akan dijumlahkan, yang dikenal
sebagai A dan B [2] 2.4 FULL ADDER

Full adder adalah jenis penambah yang memiliki


tiga masukan dan menghasilkan dua keluaran. Dua
masukan pertama adalah A dan B, sedangkan
masukan ketiga adalah carry-in (C-IN). Hasil
keluaran terdiri dari carry-out (C-OUT) dan hasil
penjumlahan (S), yang merupakan jumlah dari A,
B, dan C-IN. C-OUT juga sering disebut sebagai
Gambar 2-1 diagram blok half adder "detektor mayoritas 1," yang berarti keluarannya
akan menjadi tinggi (1) ketika lebih dari satu
Ketika kita mempertimbangkan dua bit masukan, masukan memiliki nilai tinggi (1). Penambahan
A dan B, bit penjumlahannya (sum) diperoleh penuh dirancang sedemikian rupa sehingga dapat
melalui operasi X-OR antara A dan B. Untuk digunakan untuk menggabungkan delapan
mendapatkan carry bit (bawaan), kita masukan secara bersamaan, membuatnya cocok
menggunakan operasi AND antara A dan B. Ini untuk penjumlahan byte yang lebih lebar dan

2
Laporan Praktikum - Laboratorium Dasar Teknik Elektro – FT UNSIKA
mengalirkan bit pembawa dari satu penambah ke karena itu, kita dapat mengimplementasikan
penambah lainnya [3]. rangkaian penambah penuh dengan menggunakan
dua rangkaian penambah setengah [4].
Full adder digunakan ketika kita memiliki bit carry
(bawaan) yang tersedia, dan dalam situasi seperti Pertama, half adder digunakan untuk
itu, penambah 1-bit biasa tidak cukup karena tidak menjumlahkan A dan B untuk menghasilkan
memperhitungkan bit carry. Oleh karena itu, Jumlah parsial. Kemudian, logika half adder kedua
penambah penuh 1-bit dapat menambahkan tiga digunakan untuk menambahkan C-IN ke Jumlah
operand dan menghasilkan hasil yang terdiri dari yang dihasilkan oleh half adder pertama untuk
dua bit. Dengan kata lain, full adder adalah mendapatkan keluaran S akhir. Jika salah satu dari
komponen penting dalam aritmetika biner yang logika penambah setengah menghasilkan carry,
memungkinkan kita untuk menangani maka akan ada carry output. Jadi, C-OUT akan
penjumlahan dengan bit carry, yang bisa menjadi fungsi OR dari output Carry dari kedua
digunakan untuk menghitung jumlah yang lebih half adder. Ini adalah implementasi rangkaian
besar dari byte tunggal [3]. penambah penuh yang memungkinkan
penanganan penjumlahan biner dengan baik.
Lihatlah gambaran implementasi rangkaian full
adder di bawah ini [4].

Gambar 2-3 diagram blok full adder

Gambar 2-4 rangkaian logika full adder


Tabel 2-2 tabel kebenaran full adder

2.5 RAPLLE ADDER


Rangkaian Ripple Carry Adder adalah susunan
Full Adder atau gabungan Half Adder dan Full
Adder yang digunakan untuk penjumlahan
berkelanjutan dalam notasi biner pada level bit.
Cocok untuk menghitung nibble (4 bit) atau byte (8
bit) karena setiap komponen bekerja pada level bit
dan carry-out dari satu bit menjadi input carry
untuk bit berikutnya [5].
4-bit Ripple Carry Adder

Dengan tabel kebenaran full adder di atas, Gambar di bawah menggambarkan representasi
implementasi rangkaian penambah penuh dapat dari sebuah penambah pembawa 4-bit. Dalam
dipahami dengan mudah. SUM ‘S’ diproduksi penambah ini, keempat penambah penuh
dalam dua langkah: terhubung secara berjenjang. Bit masukan
pembawa, yang selalu nol, diterapkan pada dua set
1. Dengan meng-XOR input yang disediakan ‘A’ input, yaitu A1, A2, A3, A4 dan B1, B2, B3, B4. Hasil
dan ‘B’. keluaran dari penambah ini direpresentasikan
sebagai S1, S2, S3, S4, dan pembawa keluaran, yaitu
2. Hasil dari A XOR B kemudian di-XOR kembali C4 [6].
dengan C-IN.

Ini menghasilkan SUM dan C-OUT bernilai benar


hanya ketika salah satu dari tiga input bernilai
TINGGI, maka C-OUT akan bernilai TINGGI. Oleh

3
Laporan Praktikum - Laboratorium Dasar Teknik Elektro – FT UNSIKA
3. METODOLOGI
Dalam praktikum ini, praktikan akan
menggunakan tiga peralatan utama, yaitu laptop,
Altera DE1, dan aplikasi Quartus II. Laptop akan
digunakan untuk menjalankan aplikasi Quartus II,
perangkat lunak yang sangat penting dalam
perancangan, implementasi, dan pemrograman
Gambar 2-5 diagram blok carry adder FPGA. Selain itu, kami akan mengandalkan Altera
Rangkaian carry ripple sangat lambat DE1, sebuah board pengembangan FPGA. Dengan
pewaktuannya karena banyaknya penyambungan bantuan peralatan ini, kami berharap dapat
per langkah blok rangkaian penjumlah merancang, mengimplementasikan, dan
separuhnya, sehingga untuk mengatasi hal ini bisa memprogram FPGA sesuai dengan kebutuhan
menggunakan metode pipelining, retiming atau praktikum kami.
dengan cara bus. Namun, cara yang paling sering
digunakan adalah pipelining [7]. 3.1 PERCOBAAN 1 : HALF ADDER

Dibawah ini adalah gambar sebuah rangkaian


Ripple Adder 4 Bit dengan menggunakan IC 7483
dan XOR.

Gambar 3-1 rangkain Half adder dengan gerbang AND


dan XOR

Susun rangkaian Input A : clock


Half adder pariode clock
Lakukan simulasi
seperti gambar periode 50ns dan
Gambar 2-5 rangkaian logika ripple adder 4 bit 3-1 duty cycle 50%

Tabel 2-3 tabel kebenaran ripple adder


Masukan B :
Lengkapi
INPUT OUTPUT clock periode Tentukan pin
diagram waktu
100ns dan duty planner
sesuai data input
cycle 50%
A A A A B B B B C S S S S
4 3 2 1 4 3 2 1 Y 4 3 2 1
Unggah hasil
Lakukan Lakukan
1 1 1 1 1 0 0 0 1 1 1 1 0 kompilasi ke
kompilasi pengujian
AlTERA DE1
1 0 0 1 1 1 0 0 1 0 1 0 1

1 1 0 1 0 1 1 0 1 0 0 1 1
Tentukan
persamaan
0 1 1 0 0 0 1 1 0 0 1 0 1

0 1 0 1 1 0 1 0 0 1 1 1 1
Gambar 3-2 diagram langkah-langkah percobaan 1
1 1 1 0 0 0 1 1 1 0 0 0 1
3.2 PERCOBAAN 2 : FULL ADDER
0 0 1 1 1 1 0 1 1 0 0 0 0

1 1 1 0 0 1 1 1 1 0 1 0 1

1 1 0 1 0 1 0 1 1 0 0 1 0

4
Laporan Praktikum - Laboratorium Dasar Teknik Elektro – FT UNSIKA
Susun rangkaian
ripple Adder 4 gunakan IC 7483
bit seperti dan xor
gambar 3-5

Lakukan Tentukan pin


simulasi planner

Gambar 3-3 rangkaian full adder dengan gerbang AND


dan XOR
Unggah hasil
Lakukan
kompilasi ke
kompilasi
AlTERA DE1

Input A : clock
Susun rangkaian
pariode clock
full adder seperti Lakukan simulasi
periode 50ns dan Lakukan Tentukan
gambar 3-3
duty cycle 50%
pengujian persamaan

Input B : clock Input C : clock


Lengkapi diagram
periode 100ns periode 200ns Gambar 3-6 diagram langkah-langkah percobaan 3
waktu sesuai data
dan duty cycle dan duty cycle
input
50% 50%
4. HASIL DAN ANALISIS
Unggah hasil
Tentukan pin
planner
Lakukan
kompilasi
kompilasi ke 4.1 PERCOBAAN 1 HALF ADDER
AlTERA DE1

Hasil dari percobaan 1 terkait half adder yaitu :

Lakukan Tentukan
pengujian persamaan

Gambar 3-4 diagram langkah-langkah percobaan 2

Gambar 4-1 rangkaian pada percobaan 1

3.3 RIPPLE ADDER 4 BIT

Gambar 3-5 rangkaian full adder 4 bit Gambar 4-2 waveform pada rangkaian percobaan 1

5
Laporan Praktikum - Laboratorium Dasar Teknik Elektro – FT UNSIKA
sudah terhubung ke pin FPGA yang telah
praktikan tentukan dalam Pin Planner.

Tabel kebenaran 4-1 hasil dari pengujian


menggunakan altera DE1 menunjukkan hasil
penjumlahan untuk berbagai kombinasi masukan
(A dan B). Hasil analisis menunjukkan bahwa saat
kedua masukan (A dan B) adalah 0, keluaran SUM
adalah 0, dan tidak ada carry (CY) atau 0 . Ketika
salah satu masukan adalah 1, keluaran SUM adalah
1, dan tetap tidak ada carry atau 0. Namun, saat
kedua masukan adalah 1, keluaran SUM adalah 0,
dan ada carry 1. Ini menggambarkan operasi dasar
Gambar 4-3 pin planner rangkaian percobaan 1 dari Half Adder, di mana SUM adalah hasil
penjumlahan dua bit, dan CY adalah carry-out
yang dihasilkan.

Kita dapat mencari persamaan CY dan SUM dari


rangkaian Half adder yaitu didapatkan:

𝑺𝑼𝑴 = 𝑨⨁𝑩 dan 𝑪𝒀 = 𝑨. 𝑩

Gambar 4-4 rangkaian pada percobaan 1 terpasang pin


planner
4.2 PERCOBAAN 2 FULL ADDER
Tabel 4-1 tabel kebenaran hasil pengujian percobaan 1
A B CY SUM Hasil dari percobaan 2 terkait full adder yaitu :
0 0 0 0
0 1 0 1
1 0 0 1
1 1 1 0
Analisis :
Dalam percobaan ini, kami mengamati hubungan
antara masukan dan keluaran pada rangkaian Half
Adder. Rangkaian Half Adder adalah komponen
dasar dalam aritmetika digital yang digunakan Gambar 4-5 rangkaian pada percobaan 2
untuk menambahkan dua bit. Sebelum itu dapat
dilihat ada 4 hasil gambar dan hasil pengujian yang
altera de1 yang dibuat dalam tabel kebenaran. 4
gambar hasil tersebut yaitu Pertama, pada Gambar
4-1, praktikan berhasil merancang rangkaian half
adder menggunakan gerbang logika AND dan
XOR. Rangkaian ini memiliki 2 input dan 2 output, Gambar 4-6 waveform pada rangkaian percobaan 2
Kemudian, pada Gambar 4-2 simulasi rangkaian
praktikan menghasilkan waveform yang
mencerminkan cara rangkaian berperilaku dalam
berbagai situasi input. Gambar 4-3 adalah tampilan
dari Pin Planner, di mana praktikan menentukan
tata letak pin pada FPGA. Melalui langkah ini,
kami menghubungkan input dan output dari
rangkaian kami ke pin FPGA yang sesuai,
memastikan bahwa setiap koneksi benar dan
efisien. Terakhir gambar 4-4 Gambar ini
menunjukkan rangkaian yang sudah terpasang pin
planner. Setiap input dan output dari rangkaian

6
Laporan Praktikum - Laboratorium Dasar Teknik Elektro – FT UNSIKA
pada Gambar 4-6, kami melakukan simulasi pada
waveform yang mencerminkan respons sinyal
terhadap perubahan input dalam berbagai situasi.
Gambar 4-7 adalah Pin Planner, di mana kami
merencanakan tata letak fisik dan penghubungan
pin pada FPGA, memastikan koneksi yang benar
dan efisien. Terakhir, Gambar 4-8 menampilkan
hasil akhir rangkaian yang sudah terpasang pin, di
mana setiap input dan output dari rangkaian telah
terhubung sesuai dengan rencana dalam Pin
Planner, sehingga rangkaian beroperasi dengan
baik sesuai yang diharapkan.

Tabel kebenaran 4-2 merupakan tabel kebenaran


Gambar 4-7 pin planner rangkaian percobaan 2 hasil dari pengujian dengan altere DE1 yang
menunjukkan hubungan antara variabel masukan
dan keluaran suatu rangkaian logika dan
menjelaskan cara kerja rangkaian logika tersebut.
Tabel 4-2 mencakup 3 input (A, B, dan Cin) dan dua
output (SUM dan CY). Input A dan B mewakili bit
pertama dan kedua yang akan dijumlahkan,
sedangkan Cin adalah carry-in, yang digunakan
untuk menghitung hasil penjumlahan jika ada
carry dari operasi sebelumnya. SUM adalah hasil
penjumlahan dari A, B, dan Cin, sementara Cout
adalah carry-out, yang digunakan untuk
Gambar 4-8 rangkaian pada percobaan 2 terpasang pin
menghitung carry ke operasi berikutnya.
planner

Tabel 4-2 tabel kebenaran hasil pengujian percobaan 2 Setelah mengamati tabel 4-2 bahwasanya jumlah
output (SUM) dari Full Adder akan sama dengan 1
A B C CY SUM ketika hanya satu input yang sama dengan 1 atau
ketika semua input sama dengan 1. Ini adalah
0 0 0 0 0
prinsip dasar dalam operasi penjumlahan biner
0 0 1 0 1 yaitu Jika hanya satu input yang 1, hasil
penjumlahan bernilai 1 (0 + 1 = 1 atau 1 + 0 = 1). Jika
0 1 0 0 1 semua input adalah 1, hasilnya juga bernilai 1 (1 +
0 1 1 1 0 1+1 = 1 dengan carry 1). sedangkan Cout(carry)
memiliki nilai 1 jika dua atau tiga input sama
1 0 0 0 1 dengan 1. Ini berarti Cout menggambarkan carry
atau kelongsongan dari operasi penjumlahan yang
1 0 1 1 0
akan dipindahkan ke bit berikutnya dalam
1 1 0 1 0 bilangan biner. Cout bernilai 1 ketika dua input
adalah 1 (1 + 1 = 0 dengan carry 1). Cout juga
1 1 1 1 1 bernilai 1 ketika tiga input adalah 1 (1 + 1 + 1 = 1
dengan carry 1).
Analisis : Dengan rangkaian yang terdapat pada gambar 4-5
kita dapat menentukan persamaan CY dan SUM
Pada percobaan kedua terkait full adder, diamana
yaitu :
percobaan ini dilakukan untuk mencari tahu
hubungan input dan ouput pada rangkaian full 𝑺𝑼𝑴 = (𝑨 ⊕ 𝑩)⨁𝑪
ader. Sebelum itu dapat dilihat ada 4 hasil gambar
dan hasil pengujian yang altera de1 yang dibuat Dan
dalam tabel kebenaran. 4 gambar hasil tersebut
yaitu Gambar 4-5 menunjukkan desain rangkaian 𝑪𝒀 = (𝑨. 𝑩) + (𝑪. (𝑨 ⊕ 𝑩)
full adder yang telah kami rancang, menggunakan
komponen seperti gerbang logika AND, XOR dan 𝑪𝒀 = (𝑨. 𝑩) + (𝑩. 𝑪) + (𝑪. 𝑨)
OR, dengan tiga input dan dua output. Kemudian,

7
Laporan Praktikum - Laboratorium Dasar Teknik Elektro – FT UNSIKA
4.3 PERCOBAAN 3 RIPPLE ADDER 4 BIT 1 1 1 0 0 1 1 1 1 0 1 0 1

Hasil dari percobaan 1 terkait ripple adder yaitu : 1 1 0 1 0 1 0 1 1 0 0 1 0

Analisis :

Pada percobaan terakhir terkait rangkaian ripple


adder dimana percobaan ini dilakukan untuk
mencari tahu hubungan input dan output pada
rangkaian ripple adder 4 bit, sebelum nya
percobaan ini mendapatkan 3 hasil gambar dan
tabel kebenaran dari hasil pengujian dengan altera
DE1 yaitu Gambar 4-9 menampilkan rangkaian
Gambar 4-9 rangkaian pada percobaan 3
Ripple Adder 4 Bit yang telah kami buat dengan
menggunakan IC 7483 dan gerbang logika XOR.
Gambar 4-10 adalah Pin Planner yang digunakan
untuk merencanakan penempatan fisik dan
penghubungan pin pada perangkat FPGA,
memastikan koneksi yang benar dan efisien.
Terakhir, Gambar 4-11 menampilkan rangkaian
yang sudah terpasanng pin, dengan setiap
komponen yang terhubung ke pin sesuai dengan
rencana dalam Pin Planner, memastikan bahwa
rangkaian berfungsi sesuai yang diinginkan dalam
Gambar 4-10 pin planner rangkaian percobaan 3 aplikasi 4-bit penjumlahan biner.

Dalam tabel kebenaran 4-3 Ripple Carry Adder 4-


bit memiliki empat input (A4,A3, A2, A1 dan B4,
B3, B2, B1) yang mewakili angka biner 4-bit yang
akan dijumlahkan. Outputnya Sum merupakan
hasil penjumlahan yang direpresentasikan sebagai
bilangan biner 4-bit (S1-S4). Outputnya Cout (CY)
adalah bit carry-out, yang akan disebarkan ke
tahap yang lebih signifikan jika penambahnya
Gambar 4-11 rangkaian percobaan 3 terpasang pin planner merupakan bagian dari penambah multi-bit yang
lebih besar. Tabel 4-3 menunjukkan semua
kemungkinan kombinasi masukan dan keluaran
yang sesuai untuk rapple adder 4-bit. Dalam tabel
INPUT OUTPUT 4-3 , hubungan antara input dan output tercermin
dalam operasi penjumlahan biner. Setiap bit input
A A A A B B B B C S S S S (A4,A3, A2, A1 dan B4, B3, B2, B1) dijumlahkan
4 3 2 1 4 3 2 1 Y 4 3 2 1 dengan mempertimbangkan hasil diberikan oleh
output (S4, S3, S2, S1) serta carry-out (Cout). Pada
1 1 1 1 1 0 0 0 1 1 1 1 0
tabel 4-3 menjukan bahwa jika jumlah dari dua bit
di posisi yang sama (misalkan A4 dan B4) adalah 0
1 0 0 1 1 1 0 0 1 0 1 0 1
atau 1, hasil penjumlahan adalah 0 atau 1, dan tidak
1 1 0 1 0 1 1 0 1 0 0 1 1 ada carry. Jika jumlahnya adalah 2, maka hasil
penjumlahan adalah 0, dan ada carry ke bit
0 1 1 0 0 0 1 1 0 0 1 0 1 berikutnya (Cout = 1). Jika jumlahnya adalah 3,
hasil penjumlahan adalah 1, dan carry ke bit
0 1 0 1 1 0 1 0 0 1 1 1 1 berikutnya (Cout = 1). Dengan mengamati tabel 4-
3, kita dapat melihat bagaimana kombinasi input
1 1 1 0 0 0 1 1 1 0 0 0 1 memengaruhi hasil output sesuai dengan aturan
operasi penjumlahan biner, membentuk dasar
0 0 1 1 1 1 0 1 1 0 0 0 0 hubungan antara input dan output pada Ripple

8
Laporan Praktikum - Laboratorium Dasar Teknik Elektro – FT UNSIKA
Zpersamaan output dari rangkaian pada gambar 4- dihubungkan secara berurutan, mampu
9 diantara S1 sampai S4 yaitu sebagai berikut menghitung jumlah dari dua bilangan 4 bit dan
mengelola carry-out dari satu bit ke bit berikutnya
𝑺𝟏 = 𝑨𝟏 ⨁ 𝑩𝟏 dengan baik. Selain hitu hasil mennunjukan
persamaan pada setiap rangkaian yang dicoba.
𝑺𝟐 = 𝑨𝟐 ⨁ 𝑩𝟐 ⨁ 𝑪𝒀𝟏 Analisis data menunjukkan bahwa rangkaian-
rangkaian ini sangat berguna dalam operasi
𝑺𝟑 = 𝑨𝟑 ⨁ 𝑩𝟑 ⨁ 𝑪𝒀𝟐 penjumlahan pada level bit dan sangat relevan
dalam pemrosesan data digital serta perangkat
𝑺𝟒 = 𝑨𝟒 ⨁ 𝑩𝟒 ⨁ 𝑪𝒀𝟑 elektronik modern. Keseluruhan praktikum ini
memberikan pemahaman mendalam tentang cara
kerja dan aplikasi praktis dari berbagai jenis
rangkaian aritmatik dalam dunia komputasi dan
elektronik.

DAFTAR PUSTAKA

[1] https://www.harisprasetyo.web.id/2014/11/r
Gambar 4-12 tugas percobaan 3 dan sudah dijawab soalnya angkaian-aritmatika-decoder-
encoder.html?m=1, Diakses pada 19 oktober
Dalam langkah terakhir dari Percobaan 3 ada 2023, Jam 14.00 WIB.
sebuah soal yang terdapat pada gambar 4-12 dan
sudah dijawab juga, soal tersebut diajukan yang [2] https://www-geeksforgeeks-
menuntut kita untuk menemukan nilai carry bit org.translate.goog/half-adder-in-digital-
(CY), serta nilai-nilai S4, S3, S2, dan S1 saat A = 11 logic/?_x_tr_sl=en&_x_tr_tl=id&_x_tr_hl=i
dan B = 14. Untuk memecahkan soal ini, langkah d&_x_tr_pto=tc Diakses pada 19 oktober
awal adalah mengonversi kedua bilangan A dan B 2023, jam 14.10 WIB
ke dalam representasi biner. Sebagai contoh, A
dengan nilai 11 akan memiliki representasi biner [3] https://www-geeksforgeeks-
“1011” sedangkan B dengan nilai 14 akan memiliki org.translate.goog/full-adder-in-digital-
representasi biner “1110” Kemudian, kita logic/?_x_tr_sl=en&_x_tr_tl=id&_x_tr_hl=i
menjumlahkan bilangan biner A dan B yaitu
d&_x_tr_pto=tc Diakses pada 19 oktober
hasilnya 25 dalam notasi desimal , dan hasilnya
2023, jam 14.25 WIB.
dalam notasi biner adalah "11001” di mana angka [4] https://www-elprocus-
"1" di ujung kiri adalah carry bit. Dalam notasi com.translate.goog/half-adder-and-full-
desimal, hasil penjumlahannya adalah 16, yang adder/?_x_tr_sl=en&_x_tr_tl=id&_x_tr_hl=
juga sesuai dengan nilai 9 + 7 = 16.. id&_x_tr_pto=tc Diakses pada 19 oktober
2023, Jam 15.00 WIB.
5. KESIMPULAN
[5] https://anotherorion.com/pengertian-half-
Pada modul ini terdapat tiga percobaan terkait adder-full-adder-dan-ripple-carry-adder/
rangkaian aritmatika diantaranya rangkaian half Diakses pada 19 oktober 2023, Jam 15.30 WIB.
adder, full adder dan ripple adder 4 bit. Pada setiap
percobaan dilakukan dengan membuat rangkaian [6] https://www.elprocus.com/ripple-carry-
yang ditentukan pada modul hingga yang terakhir adder-working-types-and-its-applications/
itu langkah pemasangan pin yang nantinya akan Diakses pada 19 oktober 2023, 16.00 WIB .
dlakukan pengujian menggunakan altera de1
[7] https://ferrywahyuwibowo.my.id/index.php/
untuk memperoleh tabel kebenaran.
54/ripple-carry-adder/ Diakses pada 19
Hasil percobaan menunjukkan bahwa half adder oktober 2023, Jam 14.30 WIB.
mampu menambahkan dua bit tunggal dengan
benar, menghasilkan output penjumlahan dan
[8] Latifa, Ulinnuha. Buku Petunjuk Praktikum
carry-out yang sesuai. Full adder, dengan
Sistem Digital. Laboratorium Dasar Teknik
kemampuannya menangani tiga input, juga
Elektro, Universitas Singaperbangsa
memberikan hasil yang tepat sesuai aturan
Karawang, 2021.
penjumlahan biner. Selain itu, ripple adder 4 bit,
yang terdiri dari beberapa full adder yang

9
Laporan Praktikum - Laboratorium Dasar Teknik Elektro – FT UNSIKA

Anda mungkin juga menyukai