Anda di halaman 1dari 17

Tanggal Percobaan : 24 Maret 2021

Tanggal Pengumpulan : 30 Maret 2021

PRAKTIKUM ELEKTRONIKA DIGITAL SEMESTER 114

Gerbang Logika Dasar (Logic Gate)

NAMA : Galih Muhammad Ghufron


NRM : 1306619059
KELOMPOK :-
DOSEN PENGAMPU : Dewi Muliyati, S.Pd, M.Si, M.Sc

ASISTEN LABORATORIUM:
Abdul Fatah Dendi 1306618010
Yolanda Natasya M S 1306618036

Laporan Awal Laporan Akhir Kinerja Total

Fakultas Matematika dan Pengetahuan Alam


Universitas Negeri Jakarta
2021
Gerbang Logika dasar (Logic Gate)
A. Tujuan
1. Memahami prinsip kerja gerbang logika dasar.
2. Memahami tabel kebenaran gerbang logika dasar.
3. Dapat mensimulasikan rangkaian yang terdapat 7 gerbang logika yang berbeda
dengan multism dan membandingkannya dengan tabel kebenaran pada teori.

B. Dasar Teori
Ilmu pengetahuan dan teknologi semakin berkembang yang tentunya
berpengaruh terhadap perkembangan instrumentasi dan kontrol yang sudah
menggunakan komputasi digital. Dasar dari sistem kontrol digital ini yaitu berupa
teknik digital yang terdiri dari gerbang-gerbang logika yang perkembangannya
sekarang sudah tersusun menjadi satu dalam bentuk Intergrated Circuit (IC). IC
merupakan salah satu komponen yang sangat luas pemakaiannya di bidang
elektronika. Dalam bidang elektronika, IC terbagi menjadi dua, yaitu IC
complementary metal oxide semiconductor (CMOS) dan IC transistor-transistor logic
(TTL)[ CITATION Oktavia \l 14345 ].
Gerbang (gate) dalam rangkaian logika merupakan fungsi yang
menggambarkan hubungan antara masukan dan keluaran. Untuk menyatakan
gerbang-gerbang tersebut biasanya digunakan simbol- simbol tertentu. Ada beberapa
standar penggambaran simbol. Untuk menunjukkan prinsip kerja tiap gerbang (atau
rangkaian logika yang lebih kompleks) dapat digunakan beberapa cara.
Cara yang umum dipakai antara lain adalah tabel kebenaran (truth table) dan
diagram waktu (timing diagram). Karena merupakan rangkaian digital, tentu saja level
kondisi 2 yang ada dalam tabel atau diagram waktu hanya dua macam, yaitu logika 0
(low, atau hight) dan logika 1 (atau False, atau true). (Setiawan, 2014)
Rangkaian digital adalah matakuliah yang ada di setiap perguruan tinggi baik
swasta maupun negeri. Pada rangkaian digital dipelajari tentang karakteristik Gerbang
Logika. Dimana Gerbang Logika itu sendiri terdiri dari tujuh bagian, yaitu AND, OR,
NOT, NAND, NOR, Ex-OR, dan Ex-NOR. Pada gerbang logika ada dua gerbang
yang dikenal gerbang Multilevel yaitu gerbang NAND dan NOR. Gerbang Multilevel
inilah yang dapat dijadikan sebagai gerbang untuk melakukan konversi Rangkaian
kombinasi AND, OR, NOT menjadi Rangkaian Gerbang NAND dan NOR. Dimana
pemakaian Gerbang NAND dan NOR dalam sebuah Rangkaian Digital adalah untuk
dapat mengoptimalkan pemakaian seluruh gerbang yang terdapat dalam sebuah IC,
sehingga menghemat biaya. Konversi Rangkaian kombinasi gerbang AND, OR, NOT
ke Rangkaian Gerbang NAND, dan NOR berdasarkan referensireferensi yang didapat
ada dua cara, yaitu Melalui penyelesaian persamaan logika/boolean dan langsung dari
gambar padanan.[ CITATION Helmi \l 14345 ].
Berikut merupakan Tabel Kebenaran dari tiap-tiap gerbang logika:

a. Gerbang Logika AND

Dapat disimpulkan bahwa gerbang AND digunakan untuk menghasilkan


logika 1 jika semua masukan berlogika 1. Jika tidak maka output yang dihasilkan
akan berlogika 0.

b. Gerbang Logika OR
Gerbang OR digunakan untuk menghasilkan logika 0 jika semua masukan

berlogika 0. Jika tidak maka output yang dihasilkan akan berlogika 1.


c. Gerbang Logika NOT

Dari tabel dapat diketahui bahwa input pada gerbang NOT menghasilkan
output yang berlawanan dengan logika inputnya.

d. Gerbang Logika NAND

Gerbang NAND merupakan gerbang AND yang outputnya gerbang NOT.


Digunakan untuk menghasilkan logika 0 jika semua masukan berlogika 1. Jika
tidak maka outputnya berlogika 1.
e. Gerbang Logika NOR

Gerbang NOR merupakan gerbang OR yang outputnya ditambah dengan


gerbang NOT. Digunakan untuk menghasilkan logika 1 jika semua masukan
berlogika 1. Jika tidak maka outputnya akan berlogika 0. [ CITATION Siregar \l 14345
].

Gerbang logika atau sering juga disebut gerbang logika boolean merupakan
sebuah sistem pemrosesan dasar yang dapat memproses inputinput yang berupa
bilangan biner menjad isebuah output yang berkondisiyang akhirnya digunakan
untuk proses selanjutnya.Gerbang logika dapat mengkondisikan input-input yang
masuk kemudian menjadikannya sebuah output yang sesuai dengan apa yang
ditentukan olehnya.
Sebenarnya gerbang logika inilah yang melakukan pemrosesan terhadap segala
sesuatu yang masuk dan keluar ke dan dari computer Maka dari itu, sebenarnya sebuah
perangkat komputer merupakan sebentuk kumpulan gerbang-gerbang digital yang
bekerja memproses sesuatu input, menjadi output yang diinginkan. Gerbang Logika
adalah rangkaian dengan satu atau lebih dari satu sinyal masukan tetapi hanya
menghasilkan satu sinyal berupa tegangan tinggi atau tegangan rendah. Dikarenakan
analisis gerbang logika dilakukan dengan Aljabar Boolean maka gerbang logika sering
juga disebut Rangkaian logika. (Kurniawan, 2013).
C. Komponen
1. Power supply 5 volt DC 1 buah
2. Trainer digital 1 buah
3. IC TTL tipe 7400 (NAND gate) 1 buah
4. IC TTL tipe 7402 (NOR gate) 1 buah
5. IC TTL tipe 7404 (NOT gate) 1 buah
6. IC TTL tipe 7408 (AND gate) 1 buah
7. IC TTL tipe 7432 (OR gate) 1 buah
8. IC TTL tipe 7486 (Ex-OR gate) 1 buah
9. Jumper secukupnya

D. Langkah Kerja
a. Rangkaian AND gate

1. Menyiapkan power supply 5 volt DC


2. Menghubungkan terminal Vcc dari semua modul pada tegangan 5 volt DC
3. Menghubungkan terminal ground dari semua modul
4. Membuat rangkaian gerbang seperti gambar 1
5. Memberikan kondisi logik sesuai pada tabel 1
6. Mencatat hasil pada kolom output

b. Rangkaian OR gate

1. Menyiapkan power supply 5 volt DC


2. Menghubungkan terminal Vcc dari semua modul pada tegangan 5 volt DC
3. Menghubungkan terminal ground dari semua modul
4. Membuat rangkaian gerbang seperti gambar 2
5. Memberikan kondisi logik sesuai pada tabel 2
6. Mencatat hasil pada kolom output

c. Rangkaian NOT gate

1. Menyiapkan power supply 5 volt DC


2. Menghubungkan terminal Vcc dari semua modul pada tegangan 5 volt DC
3. Menghubungkan terminal ground dari semua modul
4. Membuat rangkaian gerbang seperti gambar 3
5. Memberikan kondisi logik sesuai pada tabel 3
6. Mencatat hasil pada kolom output

d. Rangkaian NAND gate

1. Menyiapkan power supply 5 volt DC


2. Menghubungkan terminal Vcc dari semua modul pada tegangan 5 volt DC
3. Menghubungkan terminal ground dari semua modul
4. Membuat rangkaian gerbang seperti gambar 4
5. Memberikan kondisi logik sesuai pada tabel 4
6. Mencatat hasil pada kolom output

e. Rangkaian NOR gate

1. Menyiapkan power supply 5 volt DC


2. Menghubungkan terminal Vcc dari semua modul pada tegangan 5 volt DC
3. Menghubungkan terminal ground dari semua modul
4. Membuat rangkaian gerbang seperti gambar 5
5. Memberikan kondisi logik sesuai pada tabel 5
6. Mencatat hasil pada kolom outpu

f. Rangkaian EX-OR gate

1. Menyiapkan power supply 5 volt DC


2. Menghubungkan terminal Vcc dari semua modul pada tegangan 5 volt DC
3. Menghubungkan terminal ground dari semua modul
4. Membuat rangkaian gerbang seperti gambar 6
5. Memberikan kondisi logik sesuai pada tabel 6
6. Mencatat hasil pada kolom output

g. Rangkaian EX-NOR gate

1. Menyiapkan power supply 5 volt DC


2. Menghubungkan terminal Vcc dari semua modul pada tegangan 5 volt DC
3. Menghubungkan terminal ground dari semua modul
4. Membuat rangkaian gerbang seperti gambar 7
5. Memberikan kondisi logik sesuai pada tabel 7
6. Mencatat hasil pada kolom output

E. Pertanyaan Awal
1. Buatlah tabel kebenaran untuk gerbang AND 3 input?
Jawab: AND = AB
Input Output
A B C Y
0 0 0 0
0 0 1 0
0 1 0 0
0 1 1 0
1 0 0 0
1 0 1 0
1 1 0 0
1 1 1 1

2. Buktikan persamaan Boolean dengan tabel kebenaranya untuk persamaan A.B =


A+B?
Jawab: persamaan A.B = A+B dapat dinyatakan tidak benar berdasarkan bukti
pada tabel kebenaran.
AB = AND gate
Input Output
A B Y
0 0 0
0 1 0
1 0 0
1 1 1

A+B = OR gate
Input Output
A B Y
0 0 0
0 1 1
1 0 1
1 1 1

3. Bedakan antara gerbang NAND dengan gerbang NOR?


Jawab: Gerbang NAND merupakan gerbang fungsi logika kebalikan/inversi dari
gerbang AND. Dengan tabel kebenaran sebagai berikut.
Input Output
A B Y
0 0 1
0 1 1
1 0 1
1 1 0
Jika dilihat berdasarkan tabel, saat diberi semua nilai input 1, maka hasil output
dari gerbang NAND adalah 0.
Sedangkan gerbang NOR merupakan gerbang fungsi logika kebalikan/inversi
dari gerbang OR.
Input Output
A B Y
0 0 1
0 1 0
1 0 0
1 1 0
Jika dilihat berdasarkan tabel, saat diberi semua nilai input 0, maka hasil output
dari gerbang NOR adalah 1
4. Sederhanakan persamaan dibawah ini dengan menggunakan peta Karnaugh Map
dan Aljabar Boolean:
Y =A B C D + A B C D + A B C D + A B C D + A B C D + A B C D+A B C D
Jawab: aljabar boolean
Y = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD
Y = ABCD (1)
Y = ABCD

Karnaugh Maps
Y AB A’B A’B’ AB’
CD 1 0 0 0
C’D 0 0 0 0
C’D’ 0 0 0 0
CD’ 0 0 0 0
Y = ABCD

5. Perhatikan dan analisalah rangkaian berikut:

Jawab:
A B (A+B)’ Y1 Y2
0 0 1 0 0
0 1 0 0 1
1 0 0 0 1
1 1 0 1 0

6. Rencanakan sebuah Half Adder dengan menggunakan gabungan gerbang logika


dasar?
Jawab: adder merupakan salah satu dari dua rangkaian adder yang menjumlahkan
dua buah bit input, dan menghasilkan nilai jumlahan (sum) dan nilai lebihnya
(carry-out). Half Adder diletakkan sebagai penjumlah dari bit-bit terendah (Least
Significant Bit). Ketika salah satu atau lebih input bernilai nol maka keluaran pada
gerbang AND pertama akan bernilai satu. Karenanya kemudian input di gerbang
kedua dan ketiga akan bernilai satu dan mendapat input lain yang salah satunya
bernilai nol sehingga PASTI gerbang AND yang masukannya nol tadi
menghasilkan nilai satu. Sedangkan gerbang lain akan benilai nol karena mendapat
input satu dan satu maka keluaran di gerbang AND terakhir akan bernilai satu,
karena salah satu inputnya bernilai nol.

7. Jumlahkan data biner 1 1 0 1 dengan 0 1 1 0?


Jawab: 10011 = (19)10
8. Sebutkan 3 jenis IC TTL lengkap dengan kharakteristiknya masing-masing?
Jawab:
a. Logic Gate AND terdiri dari 4 buah IC TTL tipe7408 dengan 2 input tegangan
b. Logic Gate NOT terdiri dari 6 buah IC TTL tipe7404 dengan 2 input tegangan
c. Logic Gate NAND terdiri dari 4 buah IC TTL tipe7400 dengan 2 input
tegangan
d. Logic Gate OR terdiri dari 4 buah IC TTL tipe7432 dengan 2 input tegangan
9. Sebutkan 5 buah tipe dari IC TTL yang merupakan implementasi gerbang logika
dasar!
Jawab:
a. IC TTL tipe7400 (NAND gate)
b. IC TTL tipe7402 (NOR gate)
c. IC TTL tipe7404 (NOT gate)
d. IC TTL tipe7408 (AND gate)
e. IC TTL tipe7432 (OR gate)
f. IC TTL tipe7486 (EXOR gate
10. Sebutkan perbedaan antara IC TTL dengan CMOS?
Jawab: IC TTL (Transistor-Transistor Logic)
a. IC memerlukan tegangan kerja berkisar 4.75 hingga 5.25 volt.
b. Pin yang tidak terhubung kemana-mana dianggap berlogika.
c. Ditandai dengan kode 74 (seri 74XX, 741XX, 742XX)
d. Dapat aplikasikan sebagai saklar On/OFF
IC CMOS (Complementary Metal Oxide Semiconductor)
a. IC memerlukan sumber tegangan 3 volt sampai dengan 18 volt
b. Pin input yang tidak di gunakan harus tetap diberi kondisi tertentu (0
atau 1), jika dibiarkan mengambang IC akan cepat rusak.
c. IC CMOS Merupakan gabungan dari komponen MOSFET untuk
membentuk gerbang dengan fungsi logika.
d. Ditandai dengan kode 40 (seri 40XX)

F. Data
1. AND gate
Input Output
A B Y
0 0 0
0 1 0
1 0 0
1 1 1

2. OR gate
Input Output
A B Y
0 0 0
0 1 1
1 0 1
1 1 1

3. NOT gate
Input Output
A B Y
0 0 1
0 1 0
1 0 0
1 1 0

4. NAND gate
Input Output
A B Y
0 0 1
0 1 1
1 0 1
1 1 0

5. NOR gate
Input Output
A B Y
0 0 1
0 1 0
1 0 0
1 1 0

6. XOR gate
Input Output
A B Y
0 0 0
0 1 1
1 0 1
1 1 0

7. XNOR gate
Input Output
A B Y
0 0 1
0 1 0
1 0 0
1 1 1

G. Pengolahan Data
Tidak terdapat pengolahan data pada praktikum kali ini.

H. Pembahasan
Gerbang logika atau gerbang logic adalah suatu entitas dalam elektronika dan
matematika boolean yang mengubah satu atau beberapa masukan logik menjadi
sebuah sinyal keluaran logik. Gerbang logika diimplementasikan secara elektronis
menggunakan dioda atau transistor, tetapi dapat pula dibangun menggunakan susunan
komponen-komponen yang memanfaatkan sifat-sifat elektromagnetik (relay). Logika
merupakan dasar dari semua penalaran (reasoning). Untuk menyatukan beberapa
logika, kita membutuhkan operator logika dan untuk membuktikan kebenaran dari
logika, kita dapat menggunakan tabel kebenaran. Tabel kebenaran menampilkan
hubungan antara nilai kebenaran dari proposisi atomik. Dengan tabel kebenaran, suatu
persamaan logika ataupun proposisi bisa dicari nilai kebenarannya. Tabel kebenaran
pasti mempunyai banyak aplikasi yang dapat diterapkan karena mempunyai fungsi
tersebut. Salah satu dari aplikasi tersebut yaitu dengan menggunakan tabel kebenaran
kita dapat mendesain suatu rangkaian logika.
Dari percobaan diatas dengan menggunakan multism, kita membuktikan tabel
kebenaran dengan merangkai sebuah rangkaian yang terdapat sumber tegangan DC, 7
macam gerbang logika dasar, sebuah saklar sebagai indikasi inputnya dan lampu
sebagai indikasi dari outputnya, kita beri nama dua buah saklar yang terhubung
dengan gerbang logika sebagai A dan B, kemudian jika kondisi saklar tetutup maka
input akan bernilai 1 (true) dan ketika kondisi saklar terbuka maka input akan bernilai
0 (false).
Percobaan pertama adalah menguji tabel kebenaran dari rangkaian gerbang
logika AND melalui rangkaian yang dirancang pada software NI multisim 13.0, hasil
yang didapatkan adalah gerbang logika AND akan menghasilkan keluaran (output) 1
jika diberi dua nilai masukan (input) 1 juga. Selain itu maka output yang dihasilkan
adalah 0. Ini sesuai dengan teori yang ada pada literatur. Percobaan kedua adalah
rangkaian gerbang logika OR, hasil yang didapatkan adalah gerbang logika OR akan
menghasilkan nilai output 0 jika diberi dua input 0 juga, selain itu maka output yang
dihasilkan bernilai 1. Ini pun sama dengan teori yang ada pada literatur. Selanjutnya
adalah rangkaian gerbang logika NOT. Gerbang logika NOT biasa disebut juga
inverter, dari percobaan didaptkan hasil jika gerbang logika NOT akan memiliki nilai
input dan nilai output yang berkebalikan. Jika dimasukan nilai input 1, maka output-
nya adalah 0 pun sebaliknya. Selanjutnya adalah percobaan dengan rangkaian gerbang
logika NAND, gerbang logika NAND merupakan gerbang logika AND yang di-NOT-
kan. Dari percobaan didapatkan hasil bahwa gerbang logika NAND akan
menghasilkan output 0, jika diberi nilai dua nilai input 1.
Pada percobaan gerbang logika NOR yang merupakan rangkaian logika OR
yang di-NOT-kan didapatkan hasil bahwa jika diberi nilai dua nilai input 0 maka
output yang dihasilkan bernilai 1. Untuk percobaan dengan gerbang logika X-OR
didapatkan hasil jika diberi salah satu nilai input 1 maka output yang dihasilkan adalah
1, maka jika rangkaian gerbang logika X-OR diberi nilai dua nilai input 0 atau 1 maka
output yang dihasilkan bernilai 0. Pada percobaan terakhir yakni percobaan pada
rangkaian gerbang logika X-NOR, didapatkan hasil yang berkebalikan dengan
rangkaian gerbang logika X-OR. Jika diberi salah satu nilai input 1 maka output yang
dihasilkan bernilai 0, pun jika diberi dua nilai input 0 atau 1 maka output yang
didapatkan bernilai 1. Semua sesuai dengan apa yang ada pada literatur.

I. Kesimpulan
1. Semua percobaan yang dilakukan hasilnya sesuai dengan apa yang ada pada
literatur.
2. Gerbang logika AND akan menghasilkan keluaran (output) 1 jika diberi dua nilai
masukan (input) 1 juga. Selain itu maka output yang dihasilkan adalah 0.
3. Gerbang logika OR akan menghasilkan nilai output 0 jika diberi dua input 0 juga,
selain itu maka output yang dihasilkan bernilai 1.
4. Gerbang logika NOT biasa disebut juga inverter, gerbang logika NOT akan
memiliki nilai input dan nilai output yang berkebalikan. Jika dimasukan nilai
input 1, maka output-nya adalah 0 pun sebaliknya.
5. Gerbang logika NAND akan menghasilkan output 0, jika diberi nilai dua nilai
input 1.
6. Gerbang logika NOR memiliki hasil bahwa jika diberi nilai dua nilai input 0 maka
output yang dihasilkan bernilai 1.
7. Gerbang logika X-OR didapatkan hasil jika diberi salah satu nilai input 1 maka
output yang dihasilkan adalah 1.
8. Gerbang logika X-NOR jika diberi salah satu nilai input 1 maka output yang
dihasilkan bernilai 0, pun jika diberi dua nilai input 0 atau 1 maka output yang
didapatkan bernilai 1.
9. Pada praktikum kali ini kita berhasil mensimulasikan rangkaian pada multism
dengan menggunakan 7 gerbang logika yang berbeda dan mendapatkan hasil yang
sama pada tabel kebenaran pada teori.
Daftar Pustaka

Helmi, Muhammad Dedi Irawan. 2019. “Model Dan Simulasi Prototype Rangkaian
Digital Konversi Gerbang AND, OR, NOT Menjadi Gerbang NAND Dan NOR”.
INFOTEKJAR : JURNAL NASIONAL INFORMATIKA DAN TEKNOLOGI
JARINGAN- VOL. 4 NO. 1. Hal. 161.
Kurniawan, i. (2013). Gerbang Logika dan Sistem. Diktat elektronika digital.

Oktavia, Vivi, Boni P. Lapanporo, dan Andi Ihwan. 2016. “Aplikasi Gerbang Logika
untuk Pembuatan Prototipe Penjemur Ikan Otomatis”. Jurnal Prisma Fisika. Vol. 4,
No. 3.

Setiawan, R. (2014). Gerbang Logika. Jurnal elektronika.

Siregar, Fauzi, dan Ikhsan Parinduri. Juli 2017. “Prototype Gerbang Logika (AND,
OOR, NOT, NAND, NOR) pada Laboratorium Elektronika STMIK Royal Kisaran”.
Jurnal Teknologi Informasi (JurTI). Vol. 1, No. 1.

Anda mungkin juga menyukai