Anda di halaman 1dari 40

LAPORAN PRAKTIKUM

“PRAKTIKUM ELEKTRONIKA DIGITAL dan SISTEM KENDALI”

Diajukan Untuk Memenuhi Salah Satu Tugas Mata Kuliah

Praktikum Teknik Digital dan Sistem Kendali

Dosen pengampu : Dandhi Kuswardhana, S.Pd., M.T.

Disusun Oleh Kelompok 6 :

Foibe Angelia Doloksaribu 1807957

Nafis Wildani L 1807323

Noval Rezky Firwani 1808070

PROGRAM STUDI TEKNIK ELEKTRO

FAKULTAS PENDIDIKAN TEKNOLOGI DAN KEJURUAN

UNIVERSITAS PENDIDIKAN INDONESIA

2020
DAFTAR ISI

Contents
DAFTAR ISI................................................................................................................................................ i
DAFTAR GAMBAR.................................................................................................................................... ii
DAFTAR TABEL ....................................................................................................................................... iii
PRAKTIKUM 13 ........................................................................................................................................ 1
A. JUDUL .......................................................................................................................................... 1
B. TUJUAN ....................................................................................................................................... 1
C. ALAT & BAHAN ............................................................................................................................ 1
D. LANDASAN TEORI ........................................................................................................................ 1
E. PROSEDUR PERCOBAAN ............................................................................................................. 7
F. HASIL PRAKTIKUM ....................................................................................................................... 7
G. ANALISA ...................................................................................................................................... 8
H. PEMBAHASAN ........................................................................................................................... 11
I. KESIMPULAN ............................................................................................................................. 19
J. LAMPIRAN ................................................................................................................................. 20
PRAKTIKUM 14 ...................................................................................................................................... 22
A. JUDUL ........................................................................................................................................ 22
B. TUJUAN ..................................................................................................................................... 22
C. ALAT & BAHAN .......................................................................................................................... 22
D. LANDASAN TEORI ...................................................................................................................... 22
E. PROSEDUR PERCOBAAN ........................................................................................................... 24
F. HASIL PRAKTIKUM ..................................................................................................................... 24
G. ANALISA .................................................................................................................................... 25
H. PEMBAHASAN ........................................................................................................................... 27
I. KESIMPULAN ............................................................................................................................. 33
J. LAMPIRAN ................................................................................................................................. 34
DAFTAR PUSTAKA ................................................................................................................................. 36

i
DAFTAR GAMBAR

PRAKTIKUM 13……………………………………………………………………………...1

Gambar 1. Multiplekser identik dengan saklar putar………………………………………….1


Gambar 2. Diagram blok multiplekser 4 masukan……………………………………………2
Gambar 3. Rangkaian multiplekser digital 4 masukan ke 1 keluaran dengan gerbang NOT,..3
AND, dan OR………………………………………………………………………………….3
Gambar 4a. Realisasi rangkaian……………………………………………………………….5
Gambar 4b. Peta Karnough……………………………………………………………………5
Gambar 5. Multiplekser 16 masukan 1 keluaran yang tersusun dari…………………………6
multiplekser-multiplekser 4 masukan 1 keluaran……………………………………………..6
Gambar 6. Rangkaian Multiplexer Percobaan………………………………………………...7
Gambar 7. Simulasi dengan input Address = 0 , Data In A = 0 dan Data In B = 0…………...8
Gambar 8. Simulasi dengan input Address = 0 , Data In A = 1 dan Data In B = 0…………...8
Gambar 9. Simulasi dengan input Address = 1 , Data In A = 0 dan Data In B = 1…………...9
Gambar 10. Simulasi dengan input Address = 1 , Data In A = 1 dan Data In B = 1………….9
Gambar 11. Simulasi dengan input Address = 0 , Data In A = 0 dan Data In B = 1………...10
Gambar 12. Simulasi dengan input Address = 1 , Data In A = 1 dan Data In B = 0………...10
Gambar 13. Hasil Perhitungan Rangkaian Multiplexer Percobaan…………………………..12

PRAKTIKUM 14…………………………………………………………………………….22
Gambar 1. Rangkaian Demultiplexer Digital………………………………………………...23
Gambar 2. Simulasi dengan input Data = 0 dan Address = 0………………………………..25
Gambar 3. Simulasi dengan input Data = 1 dan Address = 0………………………………..25
Gambar 4. Simulasi dengan input Data = 0 dan Address = 1………………………………..26
Gambar 5. Simulasi dengan input Data = 0 dan Address = 1………………………………..26
Gambar 6. Rangkaian Percobaan…………………………………………………………….27
Gambar 7. Hasil Perhitungan Rangkaian Percobaan………………………………………...28

ii
DAFTAR TABEL

PRAKTIKUM 13……………………………………………………………………………...1
Tabel 1. Jalur masukan pengendali……………………………………………………………2
Tabel 2. Hasil Praktikum………………………………………………………………………7
Tabel 3. Perbandingan Hasil Simulasi dengan Tabel Berdasarkan Teori……………………19

PRAKTIKUM 14…………………………………………………………………………….22
Tabel 1. Demultiplexer……………………………………………………………………….22
Tabel 2. Hasil Praktikum……………………………………………………………………..24

Tabel 3. Perbandingan Hasil Simulasi dengan Perhitungan Manual/Berdasarkan Teori…….33

iii
PRAKTIKUM 13
A. JUDUL
Multiplexer

B. TUJUAN
1. Dapat membentuk rangkaian multiplexer menggunakan IC gerbang dasar TTL
2. Dapat memahami prinsip kerja dari rangkaian multiplexer
3. Dapat menganalisis cara kerja dari rangkaian multiplexer

C. ALAT & BAHAN


1. Papan percobaan
2. Catu daya
3. Kabel penghubung
4. IC 7408
5. IC 7432
6. IC 7404
7. LED
8. Resistor 470 Ohm

D. LANDASAN TEORI

Kebalikan fungsi dari demultiplekser adalah multiplekser. Multiplekser


merupakan suatu piranti untuk memilih salah satu masukan dari beberapa masukan
yang tersedia untuk disalurkan ke satu keluaran. Multiplekser identik dengan
saklar putar (rotary) satu kutub banyak posisi. Multiplekser juga disebut sebagai
pemilih data (data selector). Ide dasar multiplekser ditunjukkan pada Gambar di
bawah ini.
Multiplekser
Kendali (alamat)

Data L
Masukan L
(paralel) H H L

LHHLLL

Data keluaran (serial)

Gambar 1. Multiplekser identik dengan saklar putar


Salah satu masukan dipilih memalui kendali (alamat) dengan cara memutar saklar pada
sudut tertentu. Data pada masukan yang dipilih akan muncul pada keluarannya.
1
Multiplekser seperti pada gambar di atas merupakan multiplekser analog yang terdiri
dari saklar 6 posisi. Sedangkan multiplekser yang akan kita bahas adalah multiplekser
digital yang dapat disusun dari gerbang-gerbang logika. Agar dapat memilih salah satu
masukan di antara beberapa masukan yang tersedia diperlukan jalur pengendali.
Banyaknya jalur pengendali ditentukan oleh banyaknya jalur masukan. Misalkan ada 4
jalur masukan yang masing-masing X0, X1, X2, dan X3 maka diperlukan 2 jalur
pengendali yaitu S0, dan S1. Karena nilai logika 2 jalur pengendali itu dapat
menghasilkan 4 kombinasi keadaan yang berbeda yaitu 00, 01, 10, dan 11. Model
aturan yang biasa digunakan untuk pemilihan jalur masukan yang dipilih seperti terlihat
pada tabel berikut.

Nilai pada dua jalur pengendali Jalur masukan yang dipilih


(disalurkan ke keluaran)
00 X0
01 X1
10 X2
11 X3
Tabel 1. Jalur masukan pengendali

Diagram blok dari multiplekser 4 masukan 1 keluaran (dan tentunya dengan 2


jalur pengendali) terlihat pada Gambar berikut.

X0 X1
4 jalur masukan X2
X3

Y (1 jalur keluaran)

S0 S1

Gambar 2. Diagram blok multiplekser 4 masukan

Dengan cara yang sama, untuk multiplekser 8 masukan diperlukan 3 jalur


pengendali, dan seterusnya. Dengan demikian secara umum dapat dikatakan

bahwa n jalur pengendali dapat memilih satu masukan secara tegas di antara 2n
masukan. Tentu saja 2n tersebut merupakan jumlah maksimum yang dapat dipilih.

2
Sebagaimana demultiplekser, pada umumnya multiplekser juga dilengkapi dengan
jalur strobe atau enable. Jalur ini merupakan jalur perintah yang memungkinkan
multiplekser bekerja atau tidak bekerja.

Untuk membuat multiplekser digital, terlebih dahulu perlu mengingat kembali sifat-
sifat dari gerbang logika dasar, terutama gerbang-gerbang NOT, AND, dan OR.
Karena gerbang- gerbang tersebut yang akan kita gunakan untuk menyusun suatu
multiplekser.
NOT : Jika masukan rendah maka keluarannya tinggi, dan sebaliknya jika
masukan tinggi maka keluarannya rendah.
AND: Keluaran tinggi, bila dan hanya bila semua masukannya tinggi. OR :
Keluaran rendah, bila dan hanya bila semua masukannya rendah.
Atau keluaran tinggi jika satu atau lebih masukannya tinggi.

Selanjutnya, marilah menyusun multiplekser digital 4 masukan ke 1 keluaran yang


dilengkapi dengan jalur enable dan pengendali (control). Karena setiap jalur masukan
berkaitan dengan jalur data, jalur enable, dan tentunya 2 jalur pengendali, maka
masing-masing jalur masukan merupakan gerbang AND 4 masukan. Agar diperoleh 1
keluaran, maka perlu gerbang OR 4 masukan untuk menampung 4 keluaran dari setiap
gerbang AND. Untuk lebih jelasnya perhatikan Gambar di bawah ini.

Pengendali S1
S0
0
Enable
X0
1
X1

X2 2

3
X3
Y
Keluaran

Gambar 3. Rangkaian multiplekser digital 4 masukan


Ke 1 keluaran dengan gerbang NOT, AND, dan OR.
3
Multiplekser pada gambar tersebut, bila enable = 1 maka pemilihan masukan
dilaksanakan, dan sebaliknya bila enable = 0 maka pemilihan masukan tidak
dilaksanakan. Tentu saja dapat dibuat keadaan enable = 0 agar pemilihan masukan
dilaksanakan dan enable = 1 agar pemilihan masukan tidak dilaksanakan.

Setelah memahami cara kerja multiplekser, kita dapat memanfaatkannya untuk


berbagai keperluan sebatas kemampuan multiplekser tersebut. Multiplekser sering
dimanfaatkan sebagai rangkaian pengubah data paralel ke serial (paralel to serial
converter). Misalkan tersedia data 4 bit dalam bentuk paralel, lihat kembali gambar di
atas. Data 4 bit tersebut dikenakan pada masukan multiplekser 4 masukan ke 1
keluaran. Bit ke 0 dikenakan pada X0, bit ke 1 dikenakan pada X1, bit ke 2 dikenakan
pada X2, dan bit ke 3 dikenakan pada X3. Melalui jalur pengendali (2 jalur) maka data
4 bit tadi disalurkan ke keluarannya secara berurutan. Pada periode pertama, ketika
jalur pengendali bernilai 00, maka X0 disalurkan ke keluaran. Pada periode ke dua,
jalur pengendali bernilai 01, maka X1 disalurkan ke keluaran. Demikian seterusnya
sehingga pada keluarannya

terjadi gelombang sebagai data serial yang semula dimasukkan secara paralel. Untuk
menyalurkan data paralel 4 bit ke keluaran secara utuh memerlukan selang waktu 4
periode. Secara umum data paralel N bit (X(n-1), X(n-2), ... , X2, X1, X0) dapat
dikeluarkan secara serial dari X0, X1, X2, ... , X(n-2), X(n-1) diperlukan selang waktu N
periode.

Manfaat lain multiplekser adalah dapat digunakan untuk merealisasikan suatu


rangkaian logika. Multiplekser dengan N jalur pengendali dapat digunakan untuk
membentuk rangkaian logika dengan N variabel masukan. Sebagai contoh, dengan
menggunakan multiplekser 3 bit (8 masukan) kita hendak membuat suatu rangkaian
logika yang memiliki persamaan logika sebagai berikut :

Y = f (A, B, C, D)
= Sm (0,1,3,5,7,8,11,13,14).

Terlebih dahulu kita pilih 3 di antara 4 variabel masukan (A,B,C,D) untuk kita
hubungkan dengan 3 jalur pengendali (S0, S1, S2) pada multiplekser, misalkan kita pilih
D, C, dan B. Selanjutnya hubungkan D, C, B tersebut berturut-turut dengan S2, S1, S0

4
seperti yang terlihat pada Gambar di bawah ini. Untuk menentukan nilai masukan
Xi (i = 0,1,2,3,4,5,6,7) agar keluaran Y sesuai dengan fungsi logika yang
diinginkan, buatlah peta Karnough dari Y, perhatikan gambar (b) berikut. Pada peta
tersebut tampak ada 8 bagian (dibatasi oleh kotak) yang masing masing berkaitan
dengan DCB (variabel yang kita pilih).

1 DC DC DC DC
A
X0 1 1
BA
X1 X2 X8
X2 X0 X4
1 1
X3 1 1
A X4 BA 1 1 1
X5 X7
X6 X1 X3 X5
1
X7 BA 1

(b)

(a)

DC B
Gambar 4. Multiplekser 3 bit untuk membuat fungsi
logika Y = m (0,1,3,5,7,8,11,13,14)
(a) Realisasi rangkaian, (b) Peta Karnough.

Untuk nilai DCB = S3S2S1 = 000, oleh karena Y = X0, maka bagian kotak yang
berkaiatan dengan nilai tersebut diberi tanda X0. Demikian juga untuk nilai DCB =
S3S2S1 = 001, karena Y = X1, maka kotak yang berkaiatan dengan harga tersebut diberi
tanda X1, dan seterusnya. Pada kotak X0 oleh karena Y pada kedua kotak tersebut
bernilai 1, maka masukan X0 dihubungkan dengan nilai 1. Untuk kotak X1, oleh karena
Y = X1 = 1 jika A = 1, dan Y = X1 = 0 jika A = 0, yang berarti X1 = A, maka masukan
X1 dari multiplekser dihubungkan dengan A. Hasil yang sama akan diperoleh untuk
kotak-kotak X2, X3, X5, dan X6. Sedangkan untuk kotak X4 dan X7, oleh karena Y
bernilai 1 berkaitan dengan A = 0, maka X4 dan X7 dihubungkan dengan komplemen
A, yaitu A. Sekali lagi, yang dihubungkan dengan masukan pengendali tidak harus
DCB, tetapi dapat memilih 3 di antara 4 variabel A, B, C, dan D. Sebenarnya, untuk
rangkaian logika dengan N variabel masukan dapat digunakan multiplekser yang
5
memiliki jumlah jalur pengendali kurang dari N, tetapi perlu tambahan gerbang pada
bagian masukannya.
Untuk keperluan pengendalian yang lebih besar kadang diperlukan multiplekser
dengan jalur masukan yang besar juga. Multiplekser yang demikian itu selanjutnya
dikenal sebagai multiplekser orde tinggi. Multiplekser orde tinggi sangat sulit
ditemukan di pasaran, atau bahkan tidak tersedia dalam satu kemasan. Tetapi kita dapat
menyusun multiplekser orde tinggi dari multiplekser- multiplekser orde yang lebih
rendah. Sebagai contoh marilah kita merancang multiplekser 16 saluran masukan dan 1
keluaran dari beberapa multiplekser 4 saluran masukan dan 1 keluaran. Kita perlu 5
buah multiplekser orde yang lebih rendah tersebut. Perhatikan baik-baik Gambar
berikut.

X0
X1
X2
X3

X0
X1
X2
X3

Keluaran
X0
X1
X2
X3

X0
X1
X2
X3

Gambar 5. Multiplekser 16 masukan 1 keluaran yang


tersusun dari multiplekser-multiplekser
4 masukan 1 keluaran
6
E. PROSEDUR PERCOBAAN
1. Pahami teori tentang Multiplexer
2. Rangkailah rangkaian Multiplexer seperti pada gambar dibawah ini!

Gambar 6. Rangkaian Multiplexer Percobaan

3. Hubungkan output ke LED melalui Resistor pembatas arus 470Ω dengan


konfigurasi Aktif High
4. Lakukan uji coba dan analisis dengan melakukan perubahan input dan
pengamatan
5. Lakukan analisas sinyal output dengan osiloskop pada setiap perubahan
output, perhatikan pewaktuan/perioda perubahan logika input output, bentuk
timing diagram.
6. Buat kesimpulan dan sertakan hasil praktikum pada laporan

F. HASIL PRAKTIKUM

Tabel 2. Hasil Praktikum


Address Data In
Out
A A B
L L L 0
L H L 0
H L H 0
H H H 1
L L L 0
L L H 1
H H L 1
H H H 1
7
G. ANALISA

Gambar 7. Simulasi dengan input Address = 0 , Data In A = 0 dan Data In B = 0

Gambar 8. Simulasi dengan input Address = 0 , Data In A = 1 dan Data In B = 0

8
Gambar 9. Simulasi dengan input Address = 1 , Data In A = 0 dan Data In B = 1

Gambar 10. Simulasi dengan input Address = 1 , Data In A = 1 dan Data In B = 1

9
Gambar 11. Simulasi dengan input Address = 0 , Data In A = 0 dan Data In B = 1

Gambar 12. Simulasi dengan input Address = 1 , Data In A = 1 dan Data In B = 0

10
H. PEMBAHASAN

Pada praktikum dengan judul multiplexer menggunakan beberapa komponen


diantaranya IC 7408, IC 7432, IC 7404, LED, dan Resistor 470Ω. Multiplexer adalah
rangkaian yang bisa memilih input yang akan diteruskan menuju output. Input pada
rangkaian ini terdapat 2 yaitu Data In dan Address. Kemudian prinsip kerja dari
rangkaian multiplexer adalah rangkaian yang akan menerima banyak input data,
kemudian hanya diteruskan ke satu saluran input.

Berikut adalah penjelasan mengenai rangkaian multiplexer diatas :


1. Terdapat 2 IC 7408, pada IC 7408 yang pertama input kaki 1 berperan sebagai
Data In A dan input kaki 2 terhubung dengan output IC 7404. Kemudian pada
IC 7408 yang kedua input kaki 1 berperan sebagai Data In B dan input kaki 2
dihubungkan dengan output 7408.

2. Pada IC 7404 yang pertama inputnya sebagai Address dan Outputnya


dihubungkan dengan input IC 7404 yang kedua.

3. Output pada kedua IC 7408 masing – masing dihubungkan dengan input IC


7432, lalu output IC 7432 berperan sebagai output rangkaian yang akan
dihubungkan seri dengan Resistor 470Ω dan LED

11
Perhitungan secara manual dengan menggunakan persamaan yang didapat pada
rangkaian Multiplexer, Hasil logika 1 menyatakan lampu tersebut menyala dan hasil
logika 0 menyatakan lampu tersebut tidak menyala.

Gambar 13. Hasil Perhitungan Rangkaian Multiplexer Percobaan

12
Berikut Penjelasan Mengenai Hasil Simulasi Praktikum Multiplexer
1. Pada percobaan dengan Simulasi dengan input Address = 0 , Data In A = 0
dan Data In B = 0

Tabel Hasil Praktikum dengan simulasi


Address Data In
Out
A A B
L L L 0

• Pada percobaan dengan input data yaitu Address = 0, Data In A = 0


dan Data In B = 0 menghasilkan logika output 0 (lampu LED tidak
menyala). Hasil tersebut sama seperti dengan tabel kebenaran
berdasarkan teori.
• Bentuk timing diagram yang dihasilkan berupa garis lurus yang bisa
dilihat pada display osiloskop
• Dengan demikian berarti tidak terjadi perubahan logika output /
konstan pada logika 0 ( lampu tidak menyala ) sesuai dengan bentuk
pulsa yang dihasilkan pada osiloskop

13
2. Pada percobaan dengan Simulasi dengan input Address = 0 , Data In A = 1
dan Data In B = 0

Tabel Hasil Praktikum dengan simulasi


Address Data In
Out
A A B
L H L 0

• Pada percobaan dengan input data yaitu Address = 0, Data In A = 1


dan Data In B = 0 menghasilkan logika output 0 (lampu LED tidak
menyala). Hasil tersebut sama seperti dengan tabel kebenaran
berdasarkan teori.
• Bentuk timing diagram yang dihasilkan berupa garis lurus yang bisa
dilihat pada display osiloskop
• Dengan demikian berarti tidak terjadi perubahan logika output /
konstan pada logika 0 (lampu tidak menyala) sesuai dengan bentuk
pulsa yang dihasilkan pada osiloskop

14
3. Pada percobaan dengan Simulasi dengan input Address = 1 , Data In A = 0
dan Data In B = 1

Tabel Hasil Praktikum dengan simulasi


Address Data In
Out
A A B
H L H 0

• Pada percobaan dengan input data yaitu Address = 1, Data In A = 0


dan Data In B = 1 menghasilkan logika output 0 (lampu LED tidak
menyala). Hasil tersebut sama seperti dengan tabel kebenaran
berdasarkan teori.
• Bentuk timing diagram yang dihasilkan berupa garis lurus yang bisa
dilihat pada display osiloskop
• Dengan demikian berarti tidak terjadi perubahan logika output /
konstan pada logika 0 (lampu tidak menyala) sesuai dengan bentuk
pulsa yang dihasilkan pada osiloskop

15
4. Pada percobaan dengan Simulasi dengan input Address = 1 , Data In A = 1
dan Data In B = 1

Tabel Hasil Praktikum dengan simulasi


Address Data In
Out
A A B
H H H 1

• Pada percobaan dengan input data yaitu Address = 1, Data In A = 1


dan Data In B = 1 menghasilkan logika output 1 (lampu LED
menyala). Hasil tersebut sama seperti dengan tabel kebenaran
berdasarkan teori.
• Bentuk timing diagram yang dihasilkan berupa garis lurus yang bisa
dilihat pada display osiloskop
• Dengan demikian berarti tidak terjadi perubahan logika output /
konstan Pada logika 1 (lampu menyala ) sesuai dengan bentuk pulsa
yang dihasilkan pada osiloskop

16
5. Pada percobaan dengan Simulasi dengan input Address = 0 , Data In A = 0
dan Data In B = 1

Tabel Hasil Praktikum dengan simulasi


Address Data In
Out
A A B
L L H 1

• Pada percobaan dengan input data yaitu Address = 0, Data In A = 0


dan Data In B = 1 menghasilkan logika output 1 (lampu LED
menyala). Hasil tersebut sama seperti dengan tabel kebenaran
berdasarkan teori.
• Bentuk timing diagram yang dihasilkan berupa garis lurus yang bisa
dilihat pada display osiloskop
• Dengan demikian berarti tidak terjadi perubahan logika output /
konstan Pada logika 1 (lampu menyala) sesuai dengan bentuk pulsa
yang dihasilkan pada osiloskop

17
6. Pada percobaan dengan Simulasi dengan input Address = 1 , Data In A = 1
dan Data In B = 0

Tabel Hasil Praktikum dengan simulasi


Address Data In
Out
A A B
H H L 1

• Pada percobaan dengan input data yaitu Address = 1, Data In A = 1


dan Data In B = 0 menghasilkan logika output 0 (lampu LED
menyala). Hasil tersebut sama seperti dengan tabel kebenaran
berdasarkan teori.
• Bentuk timing diagram yang dihasilkan berupa garis lurus yang bisa
dilihat pada display osiloskop
• Dengan demikian berarti tidak terjadi perubahan logika output /
konstan Pada logika 1 (lampu menyala) sesuai dengan bentuk pulsa
yang dihasilkan pada osiloskop

18
TABEL 3. PERBANDINGAN HASIL SIMULASI DENGAN TABEL
BERDASARKAN TEORI
Address Data In BERDASARKAN
HASIL TEORI /
A A B SIMULASI PERHITUNGAN
MANUAL

L L L 0 0
L H L 0 1
H L H 0 1
H H H 1 1
L L L 0 0
L L H 1 0
H H L 1 0
H H H 1 1

Bisa dilihat pada tabel perbandingan antara hasil simulasi dengan berdasarkan
teori/perhitungan manual terdapat 4 hasil bernilai beda, sedangkan 4 hasil
lainnya bernilai sama. Hal tersebut karena dalam software multisim tidak
selalu memiliki substansi yang bernilai sama dengan peraga/komponen
aslinya.

I. KESIMPULAN

1. Multiplexer adalah rangkaian yang bisa memilih input yang akan diteruskan
menuju output
2. Pada rangkaian multiplexer terdapat 3 input yaitu Address, Data In A dan B,
Sedangkan untuk outputnya adalah LED
3. Output yang dihasilkan pada LED ada dua kondisi yaitu logika 1 (Lampu LED
menyala) dan logika 0 (lampu LED tidak menyala)
4. Rangkaian Multiplexer menghasilkan Bentuk pulsa/timing diagram pada
osiloskop berupa garis lurus atau bisa dikatakan konstan. Kondisi pertama
adalah sebuah pulsa yang konstan pada logika output 1 (lampu LED menyala),
sedangkan kondisi kedua adalah sebuah pulsa yang konstan pada logika output
0 (lampu LED tidak menyala).

19
J. LAMPIRAN

20
21
PRAKTIKUM 14
A. JUDUL
Demultiplexer

B. TUJUAN
1. Dapat membentuk rangkaian demultiplexer menggunakan IC gerbang TTL
2. Dapat memahami prinsip kerja dari rangkaian demultiplexer
3. Dapat menganalisis cara kerja kerja dari rangkaian demultiplexer

C. ALAT & BAHAN


1. Papan percobaan
2. Catu Daya
3. Kabel penghubung
4. IC 7408
5. IC 7404
6. LED
7. Resistor 470 Ohm

D. LANDASAN TEORI
Demultiplexer merupakan rangkaian logika yang berfungsi menyalurkan data
yang ada pada inputnya ke salah satu dari beberapa outputnya dengan bantuan
sinyal pemilih atau sinyal kontrol. Dalam penyebutannya, demultiplexer sering
dikemukakan dalam bentuk singkatannya saja yakni DEMUX. Demultiplexer
disebut juga sebagai penyalur data (data distributor), dan fungsinya merupakan
kebalikan dari fungsi multiplexer. Demultiplexer sering disebut sebagai perangkat
dengan sedikit input dan banyak output, karena berfungsi untuk memilih saluran
output yang banyak dari jalur input yang sedikit. Pada rangkaian demultiplexer,
gerbang yang digunakan adalah gerbang AND, output dari multiplexer di
cabangkan ke salah satu input-input dari gerbang AND. Sedangkan input gerbang
yang satu nya berfungsi sebagai saklar untuk penerima data yang masuk yang
kemudian dikeluarkan ke masing-masing output.

Tabel 1. Demultiplexer
22
Gambar 1. Rangkaian Demultiplexer Digital
Dengan menggunakan gerbang logika and dan not, secara sederhana
Demultiplexer dapat diimplementasikan sebagai rangkaian pemilih output.
Sehingga apabila pemilih berlogika 1 maka I1 akan menjadi output dari
demultiplexer, tetapi bila pemilih berlogika 0 maka Io yang akan menjadi input
dan meneruskan data ke Outputnya. Sama seperti multiplexer, rangkaian
demultiplexer dapat digunakan untuk memilih banyak keluaran(lebih dari dua
output dalam output berjumlah 2n.)

23
keluaran yang dituju, sebagai contoh pemilih menunjuk keluaran F0 dengan
memasukkan logika 00 pada pemilih, sehingga keluaran yang akan mengeluarkan
data hanyalah output F0, apabila Input berlogika 1 maka keluaran F0 juga
berlogika 1 dan juga sebaliknya, walaupun pada masukan/input dimasukkan data
tetapi keluaran lain tidak akan mengeluarkan data seperti output F0 dan hanya
akan berlogika 0 walaupun input berlogika 1.

E. PROSEDUR PERCOBAAN
1. Pahami teori tentang Demultiplexer
2. Rangkailah rangkaian Demultiplexer seperti gambar dibawah ini.

3. Hubungkan output ke LED melalui Resistor pembatas arus 470Ω dengan


konfigurasi Aktif High

4. Lakukan ujji coba dan analisis dengan melakukan perubahan input dan
pengamatan output, sesuai dengan tabel kebenaran,
5. Lakukan analisa sinyal output dengan osiloskop pada setiap perubahan
input, perhatikan pewaktuan/perioda perubahan logika input output, bentuk
timing diagram.
6. Buat kesimpulan dan sertakan hasil praktikum pada laporan

F. HASIL PRAKTIKUM

Output B
Data Address Output A

0
L L 1

0
H L 0

1
L H 0

0
H H 0

Tabel 2. Hasil Praktikum

24
G. ANALISA

Gambar 2. Simulasi dengan input Data = 0 dan Address = 0

Gambar 3. Simulasi dengan input Data = 1 dan Address = 0

25
Gambar 4. Simulasi dengan input Data = 0 dan Address = 1

Gambar 5. Simulasi dengan input Data = 0 dan Address = 1

26
H. PEMBAHASAN
Pada praktikum demultiplexer menggunakan 2 IC yaitu 7404 dan 7408. Jika kita
lihat pada rangkaian dan tabel kebenarannya, percobaan demultiplexer adalah
rangkaian yang hanya menerima satu input data dan akan diteruskan ke beberapa
output (pada percobaan ini ada 2 output yaitu A dan B).

Gambar 6. Rangkaian Percobaan

Penjelasan mengenai bentuk rangkaian demultiplexer sebagai berikut :


a. Output dari IC 7404 yang (1) dicabangkan menjadi 2, masing – masing
akan terhubung dengan input IC 7408
b. Output dari IC 7404 yang (2) akan terhubung dengan input IC 7404 yang
(3)
c. Output dari IC 7404 yang (3) akan terhubung dengan input IC 7408
d. Pada input IC 7404 yang (1) akan menjadi DATA IN
e. Pada input IC 7404 yang (3) akan menjadi ADDRESS
f. Kemudian dari kedua IC 7408 akan menjadi Output rangkaian
demultiplexer yaitu OUTPUT A dan OUTPUT B

27
Perhitungan secara manual dengan menggunakan persamaan yang didapat pada
rangkaian Multiplexer, Hasil logika 1 menyatakan lampu tersebut menyala dan hasil
logika 0 menyatakan lampu tersebut tidak menyala.

Gambar 7. Hasil Perhitungan Rangkaian Percobaan

28
Berikut penjelasan mengenai hasil simulasi rangkaian demultiplexer sebgaia
berikut :

1. Percobaan pada saat input DATA = L dan ADDRESS = L


Simulasi dengan input Data = 0 dan Address = 0

Output B
Data Address Output A

0
L L 1

• Pada percobaan dengan Input Data = L dan Address = L menghasilkan


logika output A = 1 (lampu menyala) dan output B = 0 (lampu tidak
menyala)
• Bentuk diagram yang dihasilkan pada output A dan B berupa garis
lurus
• Dengan demikian berarti tidak terjadi perubahan logika output /
konstan. Output A konstan pada logika 1 (lampu menyala) dan ouput B
konstan pada logika 0 (lampu tidak menyala)

29
• Hasil tersebut juga menunjukkan hasil yang sama antara percobaan
pada simulasi dan perhitungan manual/berdasarkan teori

2. Percobaan pada saat input DATA = H dan ADDRESS = L


Simulasi dengan input Data = 1 dan Address = 0

Output B
Data Address Output A

0
H L 0

• Pada percobaan dengan Input Data = L dan Address = L menghasilkan


logika output A = 0 (lampu tidak menyala) dan output B = 0 (lampu
tidak menyala)
• Bentuk diagram yang dihasilkan pada output A dan B berupa garis
lurus
• Dengan demikian berarti tidak terjadi perubahan logika output /
konstan. Output A konstan pada logika 0 (lampu tidak menyala) dan
ouput B konstan pada logika 0 (lampu tidak menyala)
• Hasil tersebut juga menunjukkan hasil yang sama antara percobaan
pada simulasi dan perhitungan manual/berdasarkan teori
30
3. Percobaan pada saat input DATA = L dan ADDRESS = H
Simulasi dengan input Data = 0 dan Address = 1

Output B
Data Address Output A

1
L H 0

• Pada percobaan dengan Input Data = L dan Address = L menghasilkan


logika output A = 0 (lampu tidak menyala) dan output B = 1 (lampu
menyala)
• Bentuk diagram yang dihasilkan pada output A dan B berupa garis
lurus
• Dengan demikian berarti tidak terjadi perubahan logika output /
konstan. Output A konstan pada logika 0 (lampu tidak menyala) dan
ouput B konstan pada logika 1 (lampu menyala)
• Hasil tersebut juga menunjukkan hasil yang sama antara percobaan
pada simulasi dan perhitungan manual/berdasarkan teori

31
4. Percobaan pada saat input DATA = H dan ADDRESS = H
Simulasi dengan input Data = 0 dan Address = 0

Output B
Data Address Output A

0
H H 0

• Pada percobaan dengan Input Data = L dan Address = L menghasilkan


logika output A = 0 (lampu tidak menyala) dan output B = 0 (lampu
tidak menyala)
• Bentuk diagram yang dihasilkan pada output A dan B berupa garis
lurus
• Dengan demikian berarti tidak terjadi perubahan logika output /
konstan. Output A konstan pada logika 0 (lampu tidak menyala) dan
ouput B konstan pada logika 0 (lampu tidak menyala)
• Hasil tersebut juga menunjukkan hasil yang sama antara percobaan
pada simulasi dan perhitungan manual/berdasarkan teori

32
TABEL 3. PERBANDINGAN HASIL SIMULASI DENGAN PERHITUNGAN
MANUAL/BERDASARKAN TEORI

BERDASRKAN
TEORI ATAU
HASIL SIMULASI
PERHITUNGAN
DATA ADDRESS
MANUAL

OUTPUT OUTPUT OUTPUT OUTPUT


A B A B
L L 1 0 1 0

H L 0 0 0 0

L H 0 1 0 1

H H 0 0 0 0

Bisa dilihat pada tabel perbandingan diatas bahwasannya hasil simulasi dan berdasarkan
teori/perhitungan manual menunjukkan hasil yang sama pada setiap logika output.

I. KESIMPULAN
1. Demultiplexer adalah rangkaian yang hanya menerima satu input data dan
akan diteruskan ke beberapa output
2. Pada rangkaian demultiplexer terdapat 2 input yaitu Address dan Data,
Sedangkan untuk outputnya adalah LED
3. Output yang dihasilkan pada LED ada dua kondisi yaitu logika 1 (Lampu
LED menyala) dan logika 0 (lampu LED tidak menyala)
4. Rangkaian demultiplexer menghasilkan Bentuk pulsa/timing diagram pada
osiloskop berupa garis lurus atau bisa dikatakan konstan. Kondisi pertama
adalah sebuah pulsa yang konstan pada logika output 1 (lampu LED
menyala), sedangkan kondisi kedua adalah sebuah pulsa yang konstan pada
logika output 0 (lampu LED tidak menyala).

33
J. LAMPIRAN

34
35
DAFTAR PUSTAKA
Praktikum 13:

(Kho, n.d.) https://teknikelektronika.com/pengertian-multiplexer-multiplekser-


cara-kerja-multiplexer/

Praktikum 14 :

(Arni, 2018) https://garudacyber.co.id/artikel/1255-pengertian-dan-fungsi-


demultiplexer

36

Anda mungkin juga menyukai