Anda di halaman 1dari 114

MODUL PRAKTIKUM

DASAR TEKNIK DIGITAL

DISUSUN OLEH :
MUHAMMAD IKRAM
(19023014009)

LABORATORIUM
FAKULTAS TEKNIK
PROGRAM STUDI TEKNIK ELEKTRO
UNIVERSITAS ISLAM MAKASSAR
TAHUN 2020/2021
KATA PENGANTAR

Puji syukur penulis sampaikan kepada Allah SWT, karena hanya berkat rahmat dan hidayah-Nya penulis dapat
menyelesaikan buku ajar untuk mata kuliah TEKNIK DIGITAL Semester III Universitas Islam Makassar.

Pada kesempatan ini pula, penulis dengan segala kerendahan hati menyampaikan rasa hormat dan terima kasih
kepada semua pihak terutama rekan-rekan pengajar Program Studi Teknik Elektro Universitas Islam Makassar, yang
telah banyak memberikan masukan ide, saran, dan kritik demi meningkatkan qualitas penyampaian buku ini.

Akhirnya penulis berharap buku ini berguna bagi setiap orang yang membaca/mempelajarinya.

Penulis

Makassar, Desember 2020

1
DAFTAR ISI

Kata pengantar..................................................................................................................1

Daftar Isi............................................................................................................................2

Modul I
 Elemen- Elemen Rangkaian Digital.............................................................................3

Modul II
 Operasi Data Biner.......................................................................................................24

Modul III
 Logika Kombinasional.................................................................................................41
Modul IV
 Rangkaian Sequensial...................................................................................................48
Modul V
 Rangkaian Kombinasional Dengan MSI Dan SLI.......................................................58
Modul VI
 Register Dan Counter Pada Rangkaian Sequensial MSI dan SLI...............................81
Modul VII
 Assigment Test.............................................................................................................103
Daftar Pustaka...................................................................................................................111

2
Modul I

ELEMEM-ELEMEN RANGKAIAN DIGITAL

PENGERTIAN UMUM

Rangkaian digital meliputi setiap aspek kehidupan kita, peralatan ini tidak hanya
digunakan pada sistem/peralatan teknik saja, tetapi juga untuk memenuhi
kebutuhan komsumen lainnya seperti personal computer, microwave oven,
automobile, airline reservation system, telephone exchange, digital voltmeter,
calculator, dan lain sebagainya. Semua itu melibatkan rangkaian digital untuk
tujuan pemrosesan informasi dan/atau membentuk fungsi kontrolyang
diinginkan.

Pada hampir semua aktifitas, kita selalu berhubungan dengan quantitas dan
pengukuran. Informasi ini diperoses dalam bentuk digital yang dapat
diekspresikan dalam bentuk elektronik.

DATA ANALOG DAN DIGITAL

Langkah pertama dalam operasi pemrosesan data adalah menentukan informasi


tentang obyek atau sesuatu yang menarik. Perolehan informasi biasanya
melibatkan pengambilan data pengukuran.

Pengukuran dapat dibagi menjadi 2 katagori; Pengukuran analog adalah terus-


menerus (continous) dan merupakan fungsi dari para meter yang diukur.
Sebaliknya Digital adalah terputus-putus (discrete) dan ini hanya dapat berubah
pada satuan yang tetap.

3
Volume
Volume

Waktu (t) Waktu (t)

(a) (b)
a. Penambahan Volume secara discrete
b. Penambahan Volume secara continous

Gambar a. Sistem Digital b. Sistem Analog

Gambar diatas menunjukkan 2 gelas kimia yang sedang diisi air , yang satu diisi
dari kran (tap) dengan air menetes, sedangkan yang lain dengan kran mengucur.
Keadaan air pada gelas kimia (a) mempunyai sifat digital, dimana perubahan
volume yang kecil itu sama dengan satu tetes air dan kenaikan volumenya naik
menurut step-step. Pada gelas (b), volume air naik secara kontinyu, maka ini
merupakan sifat analog.

LOGIKA BINER

Logika biner mensyaratkan 2 karakteristik yang berbeda : variabel yang


mempunyai 2 harga dan tepat untuk operasi logika. Berbeda dengan bilangan-
bilangan biasa, harga variabel dalam logika biner hanya memiliki 2 keadaan.
Suatu pasangan yang dapat menunjukkan 2 keadaan tersebut dapat diambil
contoh sebagai berikut :
Buka dan tutup, tinggi (high) dan rendah (low), panas dan dingin, benar dan
salah. Pasangan kondisi tersebut dapat dipresentasikan sebagai variabel biner
yaitu ‘0’ dan ‘1’. Jika dua kondisi tersebut dioperasikan berulang-ulang maka

4
akan terjadi pulsa. Dan pulsa merupakan komponen yang sangat penting dalam
rangkaian dan

5
sistem digital, sebagai contoh level tegangan yang berubah dari tinggi ke randah
atau rendah ke tinggi.

SISTEM BILANGAN

Pengertian Umum.

Dalam kehidupan sehari-hari, kita sudah terbiasa menggunakan sistem bilangan


desimal yang mempunyai komponen dari 0 sampai 9. Jika bilangan tersebut
lebih dari 9 maka harus dituliskan dalam 2 digit atau lebih dengan ketentuan
bahwa posisi paling kanan adalah satuan kemudian puluhan, ratusan, ribuan dst.
Untuk mengekspresikan bilangan desimal dapat ditunjukkan seperti contoh
berikut : Sebuah bilangan desimal 7392 mewakili suatu persamaan penjumlahan
dari :
7 ribuan + 3 Ratusan + 9 puluhan + 2 satuan
Ribuan, ratusan, puluhan dan satuan merupakan pemangkatan dari 10 yang
menunjukkan posisi dari koefisien-koefisien. Untuk lebih jelasnya dapat ditulis
sebagai berikut :
7392 = 7 x 103 + 3 x 102 + 9 x 101 + 2 x 100
Secara umum posisi koefisien dapat ditulis sebagai berikut :
= a 3 a 2 a 1 a 0 , a -1 a -2 a -3

atau dapat diexpresikan sebagai berikut :


= a 3 . 103 + a 2 . 102 + a 1 . 101 + a 0 . 100 + a -1 . 10-1 + a -2 . 10-2 + a -3 . 10-3
Dari penjelasan diatas, maka dapat dituliskan rumus umum dan diekspresikan
dengan bilangan R dan koefisien a :

n n-1 1 0 -1 -n
a n . R + a n-1 . R + … + a 1 . R + a 0 . R + a -1 . R + … + a -n . R ……. 1)

Basis Bilangan

Dalam sistem digital ada beberapa sistem bilangan yang sering dipakai,
diantaranya :
6
- Bil. Biner bilangan dasar yang dipakai untuk menipulasi data pada hardware.

7
- Bil. Oktal , bilangan ini dipakai pada sispemrograman untuk komputer
generasi awal.
- Bil Desimal adalah bilangan yang setiap hari kita pakai.
- Bil Hexidesimal adalah bilangan yang dipakai untuk manipulasi data pada
software operasi microproccessor saat ini.

No Jenis Basis Bilangan Komponen


Bilangan

1. Biner Bil. Berbasis 2 0, 1

2. Oktal Bil. Berbasis 8 0, 1, 2, 3, 4, 5, 6, 7

3. Desimal Bil. Berbasis 10 0, 1, 2, 3, 4, 5, 6, 7, 8, 9

4. Hexadesimal Bil. Berbasis 16 0, 1, 2, 3, 4, 5, 6, 7, 8, 9, A, B, C, D, E, F

Conversi Bilangan

Untuk mengetahui hubungan antara jenis bilangan satu dengan yang lain, maka
perlu dijelaskan bagaimana sistem konversi bilangan tsb, dengan menggunakan
referensi bilangan yang paling kita kenal yaitu Bil. Desimal.

Konversi Bilangan Lain ke Bil. Desimal

Sebagai contoh, jika bilangan biner dikonversikan ke desimal akan membentuk


suatu penjumlahan dari 2 yang mempunyai komponen 1 .
Contoh :
(1010,011)2 = 1 x 23 + 0 x 22 + 1x 21 + 0 x 20 + 0 x 2-1 + 1 x 2-2 + 1x 2-3
= 8 + 0 + 2 + 0 + 0 + 0,25 + 0,125
= ( 10,375 )10

8
Konversi Bilangan Desimal ke Bilangan Lain

Konversi dari bilangan desimal ke bilangan lain dapat dilakukan dengan


menggunakan prinsip : membagi bilangan desimal dengan basis bilangan tujuan
secara berulang-ulanghingga mendapatkan hasil pembagian sama dengan 0, dan
menuliskan sisanya pada setiap pembagian.
Hal ini dapat dijelaskan dengan contoh berikut
ini. Contoh :

Konversikan bilangan desimal 41 ke bil biner (bil. berbasis 2) menggunakan


sistem sisa

41 : 2 = 20 sisa 1  a0
20 : 2 = 10 sisa 0  a1
10 : 2 = 5 sisa 0  a2
5:2 = 2 sisa 1  a3
2:2 = 1 sisa 0  a4
1:2 = 0 sisa 1  a5

Maka :

( 41 )10 = (a5 a4 a3 a2 a1 a0 )2 = ( 1 0 1 0 0 1 )2

Untuk konversi dari bil desimal pecahan ke bilangan lain dapat menggunakan
metode yang sama dengan bilangan bulat biasa, tetapi disini menggunakan
perkalian. Dan lebih jelasnya dapat dijelaskan dengan contoh berikut.

9
Contoh :
Konversikan bilangan ( 0,6875 )10 ke bilangan biner

Bilangan Sisa Komponen


Bulat
0,6875 x 2 = 1 + 0,3750  a -1 =1
0,3750 x 2 = 0 + 0,7500  a -2 =0
0,7500 x 2 = 1 + 0,5000  a –3 =1
0,5000 x 2 = 1 + 0,0000  a -4 =1

Maka :
( 0,6875 )10 = ( 0 , a –1 a -2 a -3 a -4 )2 = ( 0 , 1 0 1 1 )2

TABEL KONVERSI BILANGAN

Desimal Biner Oktal Hexadesimal

0 0000 00 0
1 0001 01 1
2 0010 02 2
3 0011 03 3
4 0100 04 4
5 0101 05 5
6 0110 06 6
7 0111 07 7
8 1000 10 8
9 1001 11 9
10 1010 12 A
11 1011 13 B
12 1100 14 C
13 1101 15 D
14 1110 16 E
15 1111 17 F

10
Bilangan OKTAL dan HEXADESIMAL

Konversi dari dan ke bilangan biner, oktal, hexadesimal mempunya peran yang
sangat penting dalam komputer digital. Karena ketiga jenis bilangan tersebut
memiliki hubungan yang unik 23 = 8 dan 24 = 16 , setiap sati digit bilangan oktal
merupakan konversi dari 3 digit biner dan setiap digit dari hexadesimal
merupakan konversi dari 4 bilangan biner.
Konversi dari bilangan biner ke bilangan oktal dapat dilakukan dengan
mengelompokkan bilangan biner setiap 3 digit , yang dimulai dari titik biner
(koma “,”) ke kiri dan ke kanan, contoh dibawah ini menggambarkan prosedur
di atas.

Bilangan Biner Ke Oktal

( 10 110 001 101 011 , 111 100 000 110 )2 = ( 2 6 1 5 3 , 7 4 0 6 )8


2 6 1 5 3 7 4 0 6

Bilangan Biner Ke Hexadesimal :

( 0010 1100 0110 1011 , 1111 0010 )2 = ( 2 C 6 B , F 2 )16


2 C 6 B F 2

Bilangan Oktal ke Biner

( 6 1 7 3 4, 3 1 )8 = ( 110 001 111 011 100 , 011 001 )2

Bilangan Hexadesimal ke Biner

( 2 B C 8 , C A )16 = ( 0010 1011 1100 1000 , 1100 1010 )2

11
1.2. OPERASI ARITMATIKA

Operasi aritmatika dengan bilangan berbasis-R mempunyai aturan yang sama


dengan sistem desimal. Seperti halnya desimal, bilangan biner dapat ditambah,
dikurangi, dikalikan dan dibagi. Karena bilangan biner ini hanya mempunyai 2
komponen (0 dan 1) maka operasi aritmatika dapat kita lakukan dengan lebih
singkat dan sederhana. Dan sebenarnya semua operasi aritmatika didasarkan
pada sistem penjumlahan.

1.5.1. PENJUMLAHAN

Sebelum membahas lebih lanjut tentang penjumlahan bilangan biner ini,


sebaiknya kita lihat kembali aturan penjumlahan pada bilangan desimal.
Pada penjumlahan desimal, yang pertama dijumlahkan adalah kolom satuan,
jika hasil penjumlahan tsb kurang dari sepuluh maka hasil tsb dituliskan pada
kolom satuan. Jika hasil penjumlahannya lebih besar dari sepuluh, satuan dituis
pada kolom satuan dan satu dilimpahkan masuk ke kolom puluhan. Kemudian,
digit- digit pada kolom puluhan dijumlahkan bersama dengan limpahan (yang
selanjutnya disebut CARRY) jika ada. Kalau hasilnya kurang dari sepuluh,
hasilnya dituliskan pada kolom puluhan, jika hasilnya lebih besar dari sepuluh,
maka satuan dituliskan pada kolom puluhan dan satu dilimpahkan ke kolom
ratusan, dst.
Contoh :
A = 273 dan B = 48 maka A + B =

11 0
27 3 A
4 8 + B
32 1 A+B

Aturan diatas digunakan juga untuk menjumlahkan bilangan biner. Untuk


penjumlahan 2 buah bilangan biner 1 bit (yang paling sederhana), dapat dilihat
pada ketentuan dibawah ini :

12
0 + 0 = 0
0 + 1 = 1
1 + 0 = 1
1 + 1 = 102

Atau dapat dituliskan dengan tabel berikut ini :

Tabel Penjumlahan 2 buah bil. Biner 1 digit

A B Carry (C) Hasil (S)

0 0 0 0
0 1 0 1
1 0 0 1
1 1 1 0

Untuk penjumlahan biner dengan digit lebih dari satu, dapat dijelaskan dengan
contoh-contoh berikut :

Contoh :
A = 1010 B = 0100

1 0 1 0
0 1 0 0 +
S= 1 1 1 0
C= 1 0 0 0

Maka A + B = 1 1 1 0

13
Contoh :
A = 1010 B = 0010

0 1 0
1 0 1 0
+
0 0 1 0
S= 11 0 0
C= 00 1 0

Maka A + B = 1 1 0 0

Contoh :
A = 1010 B = 1110

1 1 0
1 0 1 0
+
1 1 1 0
S= 10 0 0
C= 11 1 0

Maka A + B = 1 1 0 0 0

14
PENGURANGAN

Pada sistem biner, operasi pengurangan dapat dilakukan dengan menggunakan


aturan dasar sbb:

0 - 0 = 0
0 - 1 = 1
1 - 0 = 1
102 - 1 = 1

Jika kita mengurangkan bilangan, kadang kita harus meminjam dari bit yang
lebih tinggi. Pinjam (borrow) ini dibutuhkan jika kita mengurangkan 0 dengan 1
. Dalam hal ini, jika 1 dipinjam dari bit berikutnya maka akan timbul 102 pada
baris yang dikurangi, contoh-contoh dibawah ini menggambarkan sistem di atas.

Contoh :

1 1 A 1 1 A
0 1 - B 1 0 - B
1 0 A-B 0 1 A-B

Contoh :

1 0 1 A
0 1 1 - B
0 1 0 A-B

15
KOMPLEMEN

Komplemen digunakan dalam komputer digital untuk menyederhanakan operasi


pengurangan (subtraction) dan untuk manipulasi logika. Ada dua tipe
komplemen untuk setiap sistem bilangan basis-r :
a. Komplemen r
b. Komplemen r-1

Jika harga tersebut disubstitusikan , dua tipe tsb akan diberinama komplemen 2
dan komplemen 1 untuk bilangan biner, atau komplemen 10 dan komplemen 9
untuk bilangan desimal.

1.5..3.1. Komplemen r

Suatu bilangan positif N dalam basis-r dengan bilangan bulat sebanyak n digit,
maka komplemen r dari N dapat didefinisikan sebagai r n - N untuk N  0 dan 0
untuk N = 0. Contoh-contoh berikut ini akan memperjelas definisi diatas :

- Komplemen 10 dari (52520)10 adalah 105 – 52520 = 47480


Jumlah digit pada bilangan ini adalah n=5
- Komplemen 10 dari (0,3267)10 adalah 1 – 0,3267 = 0,6733
Tanpa bilangan bulat maka 10n = 100 = 1
- Komplemen 10 dari (25,639)10 adalah 102 – 25,639 = 74,361
- Komplemen 2 dari (101100)2 adalah (26)10 – (101100)2 =
(1000000 – 101100)2 = 010100
- Komplemen 2 dari (0,0110)2 adalah ( 1 – 0,0110 )2 = 0,1010

1.5..3.2. Pengurangan dengan Komplemen r

Metode penguranganlangsung yang sering kita gunakan (seperti pada desimal)


yaitu dengan menggunakan konsep peminjaman. Pada metode ini, kita pinjam 1

16
dari bit yang lebih tinggi jika digit yang dikurangi lebih kecil dari digit

17
pengurangannya. Jika pengurangan ini dikembangkan pada komponen digital,
metode diatas terlihat kurang efisien maka disini dikembangkan dengan metode
komplemen dan penjumlahan komplemen.

Pengurangan dua bilangan positif (M – N) yang keduanya mempunyai basis yang


sama ( r ) , dapat dilakukan dengan prosedur berikut :
1. Jumlahkan bilangan yang dikurangi (M) dengan komplemen r dari
pengurang (N).
2. Lihat hasil dari step 1 untuk sebuah end carry (limpahan akhir)
a. Jika terjadi end carry abaikan itu.
b. Jika tidak terjadi end carry maka hasil pengurangannya adalah
komplemen dari hasil step 1 dan tambahkan tanda negatif ( - )
didepannya.

Contoh-contoh berikut ini menggambarkan prosedur diatas :

Contoh :
Menggunakan komplemen 10 kurangkan 72532 – 3250

M=72532 72532
N=03250
Komplemen 10 dari N = 9 6 7 5 0 + 96750
End Carry 1 69282
Maka hasilnya = 6 9 2 8 2

Contoh :
Kurangkan ( 3250 – 72532)10

M=03250 03250
N=72532
Komplemen 10 dari N = 2 7 4 6 8 + 27468
Tanpa Carry 0 30718
Hasilnya = - (komplemen 3 0 7 1
8)
18
=-69282

19
Contoh :
Gunakan komplemen 2 untuk menunjukkan pengurangan (M – N) dari bilangan
biner:

M=1010100 1010100
N=1000100
Komplemen 2 dari N = 0 1 1 1 1 0 0 + 0111100
End Carry 1 0010000
Hasilnya = 0 0 1 0 0 0 0

M=1000100 1010100
N=1010100
Komplemen 2 dari N = 0 1 0 1 1 0 0 + 0101100
Tanpa Carry 0 1110000
Hasilnya = - (komplemen 2 dari 1110000)
=-10000

1.5..3.3. Komplemen ( r – 1 )

Suatu bilangan N pada basis r dengan bagian bilangan bulat sebanyak n digit dan
bagian pecahan m digit, maka komplemen ( r – 1 ) dari N didefinisikan sebagai r n-r-
m
-N. Contoh-contoh secara numerik dapat diberikan sebagai berikut :

- Komplemen 9 dari (52520)10 adalah 105 –1-52520 = 99999-52520 = 47479


Tanpa pecahan maka 10-m = 100 = 1
- Komplemen 9 dari (0,3267)10 adalah 1-10-4–0,3267 = 0,9999-0,3267 = 0,6733
Tanpa bilangan bulat maka 10n = 100 = 1
- Komplemen 9 dari (25,639)10 adalah 102 -10-3 - 25,639 = 99,999-25639

20
=74,361
6
- Komplemen 1 dari (101100)2 adalah (2 -1)10 – (101100)2 =
(111111 – 101100)2 = 010100
- Komplemen 1 dari (0,0110)2 adalah ( 1 – 2-4)10 – (0,0110)2 =
( 0,1111 – 0,0110 )2 = 0,1001

Dari contoh-contoh diatas dapat disimpulkan bahwa komplemen 9 dari bilangan


desimal adalah terbentuk dari pengurangan srtiap digitnya dengan 9. Dan
komplemen 1 dari bilangan biner lebih mudah dibentuk yaitu dengan merubah 1
ke 0 atau 0 ke 1.

Dari definisi dan perbandingan hasil-hasil yang didapat pada contoh-contoh


diatas, dapat diketahui bahwa komplemen r bisa ditentukan dari komplemen ( r –
1 ) dengan penambahan r-m pada digit yang paling rendah (LSB). Sebagai contoh:
Komplemen 2 dari 1 0 1 1 0 1 0 0 dapat dihasilkan dari komplemen 1
yaitu 0 1 0 0 1 0 1 1 ditambah 1 maka didapat 0 1 0 0 1 1 0 0 .

21
1.5.3.4. Pengurangan dengan komplemen ( r – 1 )

Prosedur pada pengurangan dengan komplemen (r – 1) adalah sama dengan


prosedur yang digunakan pada komplemen r, kecuali satu variasi yaitu end-
around-carry (limpahan memutar) seperti terlihat dibawah ini.

Pengurangan M – N, keduanya adalah bilangan positif dengan baisi r, dapat


dihitung dengan prosedur berikut:
1. Tanbahkan bilangan yang dikurangi M dengan komplemen (r – 1) dari
pengurang N.
2. Lihat hasil dari step 1 untuk end-carry nya.
a. Jika terjadi end-carry, tambahkan 1 ke digit paling rendah (end-around-
carry).
b. Jika tidak terjadi end-carry, ambil komplemen (r – 1) dari bilangan yang
dihasilkan oleh step 1 dan tuliskan tanda negatif ( - ) didepannya.

Contoh-contoh dibawah ini menggambarkan prosedur tersebut :

Contoh :
Menggunakan komplemen 9 kurangkan 72532 – 3250

M=72532 72532
N=03250
Komplemen 9 dari N = 9 6 7 4 9 + 96749
End Carry
1 69281
+ 1
69282
Maka hasilnya = 6 9 2 8 2

Contoh :
Kurangkan ( 3250 – 72532)10

M=03250 03250

22
N=72532
Komplemen 9 dari N = 2 7 4 6 7 + 27467
Tanpa 0
30717
Carry Hasilnya = - (komp. 9 dari 3 0
7 1 7)
=-69282

Contoh :
Gunakan komplemen 1 untuk menunjukkan pengurangan (M – N) dari bilangan
biner:
M=1010100 1010100
N=1000100
Komplemen 1 dari N = 0 1 1 1 0 1 1 + 0111011
End Carry 1 0001111
End-around-carry + 1
0010000
Hasilnya = 1 0 0 0 0

M=1000100 1010100
N=1010100
Komplemen 1 dari N = 0 1 0 1 0 1 1 + 0101011
Tanpa Carry 0 1101111
Hasilnya = - (komplemen 1 dari 1101111)
=-10000

23
Modul II

OPERASI DATA BINER

Pada bab yang lalu telah dijelaskan bahwa suatu informasi dapat dikodekan
dalam bentuk biner yang hanya mempunyai 2 kemungkinan. Pada bab ini akan
dibahas tentang hubungan variabel-variabel biner.

Operasi Logika

Suatu rangkaian elektronik yang mengoperasikan data biner disebut Sistem


Digital Logika (Logic Digital System). Rangkaian-rangkaian dalam suatu sistem
yang mengoperasikan logika-logika dasar disebut Logic Gate (Gerbang Logika).
Ada 3 (tiga) tipe system logika yang dikenal antara lain :
1. Sistem Logika Kombinasional.
2. Sistem logika Sequential (berurutan).
3. Sistem Storage (Penyimpanan).

Suatu sistem logika dapat digambarkan dengan suatu blok yang mempunyai satu
set input yang menerima data biner dan mempunyai satu jalur output atau lebih.
Jika sistem itu adalah Kombinasional maka output datanta mempunyai fungsi
logika langsung atau dengan kata lain output tidak mempengaruhi input.

Input I1 Z1
I2 Z2 Output
. SISTEM LOGIKA .
In Z3

Gambar 4.1. Sistem Logika secara Umum.

Jika input diwakili dengan I dan outputnya adalah Z seperti terlihat pada gambar
4.1. maka :
Zt = f ( It)
Dimana Zt adalah output dan It adalah input saat t.

24
Rangkaian penjumlah (adder) adalah suatu contoh dari rangkaian
Kombinasional. Jika input mewakili 2 bilangan, misalnya 2 dan 3 maka
keluaran yang diharapkan adalah 5 dengan kata lain outputnya akan 5 jika
inputnya 2 dan 3.

Sebuah rangkaian sequential juga dapat digambarkan seperti gambar 4.1. yang
mana mempunyai input dan output. Tapi ada satu hal yang membedakan antara
Sequential dan Kombinasional, yaitu pada sequential outputnya tidak hanya
tergantung pada inputnya tetapi juga tergantung pada input sebelumnya pada
operasi waktu tertentu. Maka :
Zt = f ( I1, I2, …, It )
Dimana It adalah input data pada selang waktu t. Output dari rangkaian ini
tergantung pada nilai input saat t dan semua input sebelumnya , oleh karena itu
input yang diberikan pada selang waktu t tidak selalu mempunyai output yang
sama.

Contoh :
Suatu rangkaian bilangan 1, 4, 2, 5, 2 merupakan contoh dari sistem sequential
yang disalurkan sekali setiap selang waktu t. maka urutan output dapat dilihat
pada tabel dibawah ini :

Tabel 4-1. Operasi Accumulator (Penjumlah)

Waktu Input Output


1 1 1
2 4 5
3 2 7
4 5 12
5 2 14

Tipe ketiga adalah sistem storage (penyimpanan). Sistem ini pempunyai 2


fungsi yang berbeda. Ini dapat menahan suatu informasi dimana data itu
dimasukkan dan
25
disimpan tanpa mengeluarkan apapun, atau dapat mengatur informasi dalam
memory dengan mengeluarkan output tanpa membutuhkan input data.

Dalam memory ada beberapa lokasi dimana data dapat disimpan, dan yang
mengatur lokasi ini disebut address (alamat). Ada input kontrol lain yang sangat
penting untuk mengatur memory ke dalam mode Read (baca) atau mode Write
(tulis).

Address
MEMORY
Data Out
Data In

Control Read/
Write

Gambar 4-2. Sistem Memory

Tiga tipe dari sistem logika ini mempunyai hubungan yang unik. Sebuah
rangkaian kombinasional dapat dikonversikan dalam sistem sequential dengan
cara menambah feedback dari beberapa outputnya untuk menimbulkan internal
input. Sedangkan rangkaian sequential sederhana mempunyai peralatan
memory, maka dapat dikatakan bahwa sebuah rangkaian memory dapat
dibentuk sebagai fungsi kombinasional.

26
LOGIKA DAN STATEMEN (PERNYATAAN)

Analisa dari sistem biner pertama kalinya dilakukan oleh seorang ahli matematika
, George Boole (1815 – 1884) beberapa puluh tahun sebelum revolusi elektronik
dan komputer. Teori Boole ini sangat relevan dengan operasi yang ditampilkan
oleh data biner dalam sistem elektronik.

Contoh :

Marilah kita lihat pernyataan sederhana ini :


“ Hari ini akan turun salju jika temperaturnya rendah dan langit mendung
(berawan)”
disini ada 3 (tiga) variabel : Salju (sebagi Output), temperature rendah dan
Mendung (sebagai Input). Setiap kondisi ini hanya mempunyai 2 kemungkinan
“Benar atau Salah” (Benar jika bersalju dan Salah jika tidak).

Keadaan cuaca ini dapat digambarkan dalam persamaan Boole dengan variabel
S untuk Salju, R untuk Temperatue Rendah, dan M unutk mendung, maka :

S = R AND M
Fungsi logika antara 2 (dua) variabel input adalah AND (dan) dan symbol dari
AND adalah ‘.’ dan persamaan diatas dapat dituliskan :
S = R. M
Persamaan ini menyatakan bahwa S itu benar jika dan hanya jika R benar dan M
benar. Pernyataan ini mewakili suatu statemen “ akan terjadi Salju Jika
temperatur rendah dan mendung”.

TRUTH TABLE (TABEL KEBENARAN)

Setiap persamaan boole mempunyai truth table yang berupa daftar nilai output
untuk setiap kemungkinan kombinasi input.
Truth table untuk persamaan S = R . M dapat dibuat sebagai berikut :

27
- Ada 4 (empat) kemungkinan kombinasi input (R dan M) yaitu (salah, salah),
(salah, benar), (Benar salah), dan (benar, banar).
- S akan benar jika R benar dan M benar.

Keadaan ini dapat digambarkan/dijelaskan dalam sebuah tabel kebenaran (Truth


Tabel) seperti terlihat pada tabel dibawah ini :

Tabel. Untuk fungsi ‘AND’ (S = R . M)

Input Output Input Output


S M R R M S
Salah Salah Salah 0 0 0
Salah Benar Salah 0 1 0
Benar Salah Salah 1 0 0
Benar Benar Benar 1 1 1

a. Nilai Kebenaran b. Symbol dalam biner

ALJABAR BOOLEAN

Prinsip logika yang ditemukan George Boole ini dikembangkan lebih lanjut oleh
Augusto De Morgan. Dan teori dari Boole ini biasa disebut Aljabar Boolean
(Boolean Algebra).

Teori aljabar boolean ini terbagi menjadi 3 group :


a. Operasi Logika Konstanta
b. Operasi Logika Satu Variable.
c. Operasi Logika dua variable atau lebih.

28
GERBANG LOGIKA DASAR (BASIC LOGIC GATES)

Aljabaric
Name Symbol Truth Table
Function

A B F
A F=A.B
0 0 0
AND GATE F or 0
B F=AB 1 0
1 0 0
1 1 1

A B F
A 0 0 0
OR GATE F F=A+B 0 1 1
B 1 0 1
1 1 1

A F
0 1
NOT GATE A F F = A' = A
1 0

A B F
A 0 0 1
NAND GATE F F=A.B 0 1 1
B 1 0 1
1 1 0

A B F
A 0 0 1
NOR GATE F F=A+B 0 1 0
B 1 0 0
1 1 0

A B F
F=A+B 0 0 0
EX-OR A
GATE F or 0 1 1
B F = A' B + A B' 1 0 1
1 1 0

A B F
EX-NOR A 0 0 1
F F=A+B 0 1 0
GATE B 1 0 0
1 1 1

29
Hukum-Hukum dalam Aljabar Boolean

a. Operasi Logika OR satu Variabel

OR AND NOT

X+0=X X.0 =0

X+1=1 X.1=X (X’)’ =X

X+X=X X.X=X

X + X’ = 1 X . X’ = 0

b. Hukum Komutatif

1. X + Y = Y + X (Hukum Komutatif)
2. X . Y = Y . X (Hukum Komutatif)

c. Hukum Asosiatif
1. X + (Y + Z) = (X + Y) + Z (Hukum Asosiatif)
2. X (Y.Z) = (X.Y) Z (Hukum Asosiatif)

d. Hukum Distributif
1. X (Y + Z) = X Y + X Z (Hukum Distributif)
2. X + YZ = (X + Y)(X + Z) (Hukum Distributif)

e. Teori De Morgan
1. (X + Y)’ = X’ Y’ (Teori De Morgan)
2. (X.Y)’ = X’ + Y’ (Teori De Morgan)

f. Hukum Absorbsi
1. A + (A.B) = A (Hukum Absorbsi)
2. A (A + B) = A (Hukum Absorbsi)

30
g. Teori Penyusutan (Minimization)
1. AB + AB’ = A (Teori Penyusutan)
2. (A + B) (A + B’) = A (Teori Penyusutan)
3. A + A’. B = A + B (Teori Penyusutan)
4. A (A’ + B) = A . B (Teori Penyusutan)

Contoh – contoh penyederhanaan menggunakan aljabar Boolean.

1. X + X’ Y = (X + X’)(X + Y) = 1. (X + Y) = X + Y
2. X(X’ + Y) = XX’ + XY = 0 + XY = XY
3. X’Y’Z + X’YZ + XY’ = X’Z (Y’ + Y) + XY’ = X’Z + XY’
4. XY + X’Z + YZ = XY + X’Z + YZ(X + X’)
= XY + X’Z + XYZ + X’YZ
= XY(1 + Z) + X’Z(1 + Y)
= XY + X’Z

5. (A + B + C)’ = (A + X)’
= A’X’
= A’ . (B + C)’
= A’ . (B’. C’)
= A’B’C’

Minterm dan Maxterm

Sebuah variabel biner dapat ditampilkan dalam bentuk normal (x) atau dalam
bentuk komplemen (x’). Ini dapat kita lihat jika dua variabel biner x dan y
dikombinasikan menggunakan operasi ‘AND’. Karena setiap variabel dapat
diekspresikan dalam bentuk tertehtu, maka terdapat 4 kombinasi input : x’y’,
x’y, xy’, dan xy. Setiap kemungkinan tersebut mewakili satu kombinasi input
yang disebut Minterm atau Standart Product. Hal ini dapat diperjelas bahwa n
variabel mewakili 2n kombinasi input (Minterm).

31
Begitu juga, n variabel yang diekspresikan dalam operasi ‘OR’ dimana
kombinasi inputnya biasa disebut Maxterm atau Standart Sum. Hal diatas dapat
digambarkan pada tabel dibawah ini.

Tabel Minterm dan Maxterm untuk 3 (tiga) Variabel Input.

Minterm Maxterm

x y z Term Tanda Term Tanda

0 0 0 x’y’z’ m0 x+y+z M0
0 0 1 x’y’z m1 x + y + z’ M1

0 1 0 x’yz’ m2 x + y’ + z M2

0 1 1 x’yz m3 x + y’ + z’ M3

1 0 0 xy’z’ m4 x’ + y + z M4

1 0 1 xy’z m5 x’ + y + z’ M5

1 1 0 xyz’ m6 x’ + y’ + z M6

1 1 1 xyz m7 x’ + y’ + z’ M7

Penulisan persamaan aljabar pada bentuk normal (x) dilakukan berdasarkan


logika ‘1’ pada fungsi yang ada.
Sebagai contoh fungsi f1 dan f2 pada tabel dibawah ini.

x y z f1 f2
0 0 0 0 0
0 0 1 1 0
0 1 0 0 0
0 1 1 0 1
1 0 0 1 0
1 0 1 0 1
1 1 0 0 1
1 1 1 1 1

32
f1 = x’y’z + xy’z’ + xyz = m1 + m4 + m7 (Minterm/Sum Of Product)

Komplemen dari fungsi diatas diambil berdasarkan logika ‘0’, komplemen dari
f1 dapat dibaca sebagi berikut :
f1’ = x’y’z’ + x’yz’ + x’yz + xy’z + xyz’
= m0 + m2 + m3 + m5 + m6
dan komplemen dari f1’ adalah
f1 = (x + y + z)(x +y’ + z’)(x + y’ + z’)(x’ + y + z’)(x’ + y’ + z)
= M0 . M2 . M3 . M5 . M6 (Maxterm/Product Of Sum)

Demikian juga untuk f2 :


f2 = x’yz + xy’z + xyz’ + xyz = m3 + m5 + m6 +
m7 f2’ = m0 + m1 + m2 + m4
f2 = M0 . M1 . M2 . M4

Pada prinsipnya, minterm diekspresikan dalam bentuk Sum Of Product (SOP),


dan Maxterm diekspresikan dalam bentuk Product Of Sum POS).

KARNOUGH MAP

Pada bab yang lalu telah dibahas tentang aljabar boolean yang mana dapat
digunakan untuk menyederhanakan suatu rangkaian digital.
Akan tetapi jika rangkaian itu mencapai tingkat kesulitan (complexity) tertentu,
maka persamaan aljabar yang didapat akan sangat rumit, dan mungkin akan
timbul berbagai macam bentuk persamaan. Karena dengan metode boolean itu
tidak mempunyai prosedur/step-step yang khusus dalam proses manipulasi.

Metode map (pemetaan) menyediakan/mempunyai prosedur sederhana dan langsung untuk


menyederhanakan fungsi boolean.

Metode ini pertama kalinya diciptakan oleh Veitch dan dikembangkan lebih
lanjut oleh Karnough, oleh karena itu metode ini biasa disebut Diagram Veitch
atau Karnough Map .

33
Map ini adalah sebuah diagram yang terdiri dari beberapa kotak dimana setiap
kotaknya mewakili 1 (satu) kombinasi input, dimana map ini menunjukkan
bahwa semua kemungkinan yang timbul dapat diexpresikan dalam bentuk yang
standar.

Kita dapat membuktikan beberapa alternatif dari persamaan yang paling


sederhana. Hasil akhir dari pemetaan adalah persamaan ajlabar yang paling
sederhana dalam bentuk penjumlahan dari suatu perkalian (Sum Of Product).

MAP BERVARIABEL DUA DAN TIGA

Gambar 2.5-1 menunjukkan suatu contoh map dengan 2 (dua) variabel input.
Ada 4 (empat) kombinasi input untuk 2 (dua) variabel input, maka map itu berisi
4 (empat) kotak.
Satu untuk setiap kombinasi input . Gambar 5-1 (b) digunakan untuk
menunjukkan hubungan antara kotak-kotak itu dengan dua variabel input.

y
x 0 1
m0 m1 0 x’ y’ x’ y

m2 m4 1 x y’ xy

Gambar 2.5-1. Map dengan 2 Variabel Input

Penggunaan dari map ini dapat digambarkan dalam suatu contoh berikut.

Contoh 1 :
Fungsi xy (seperti ditunjukkan gambar 5-2 (a) adalah sama dengan m3 maka ‘1’
dituliskan pada kotak m3.

F(xy) =  (m3) = xy

Sama halnya dengan fungsi x + y (gambar 5-2 b) ada 3 kotak dengan tanda
‘1’ F = (x + y) = x’y + xy’ + xy = m1 + m2 + m3
34
Ketiga kotak tersebut dapat ditemtukan dari perpotongan variabel x pada baris
ke dua dan variabel y pada kolom 2 dimana kotak tsb memiliki variabel x atau y.

y y
x 0 1 x 0 1

0 0 1

1 1 1 1 1

A/ xy b/ x + y
Gambar 2.5-2. Penulisan Fungsi ke dalam Map.

Prosedure Pemetaan (Mapping)

1. Ubahlah Persamaan aljabar yang akan disederhanakan kedalam bentuk


minterm berdasarkan output yang berlogika ‘1’.
2. Lakukan transfer data ke dalam tabel pemetaan sesuai dengan mintermnya.
3. Lakukan pemetaan dengan cara pengelompokan data yang berlogika ‘1’
dan diberi batas kotak (pengkotakan/pemetaan), dengan syarat :
a. Didalam satu kotak tidak boleh mengandung logika ‘0’.
b. Tidak boleh dilakukan pemetaan secara diagonal.
c. Jumlah logika ‘1’ dalam satu kotak yang diperbolehkan adalah : 1, 2, 4,
8, 16, … (pemangkatan dari 2).
4. Pembacaan hasil pemetaan, setiap koyak yang muncul menghasilkan 1
(satu) product.
5. Penulisan hasil penyederhanaan, dengan cara menjumlahkan product-
product hasil pemetaan, sehingga hasil pemetaan merupakan persamaan
yang paling sederhana dalam bentuk Sum Of Product.

Pada gambar 2.5-3 menunjukkan sebuah map dengan 3 (tiga) variabel input.
Untuk 3 (tiga) variabel input mempunyai 8 kombinasi input (2 3 = 8), maka map
ini mempunyai 8 kotak.

35
Dalam hal ini input kombinasi tidak disusun berdasarkan urutannya, tetapi
disusun seperti terlihat pada gambar 5-3.

Yz
X 00 01 11 10

0 m0 m1 M3 m2 x’y’z’ x’y’z x’yz x’yz’

m4 m5 M7 m6 1 xy’z’ xy’z xyz xyz’

Gambar 2.5-3. Map dengan tiga variabel Input

Penggunaan dari map ini dapat dijelaskan dalam suatu contoh berikut.

Contoh 2 :

Hanya m5 dan m7 yang mempunyai logika ‘1’, maka dapat dituliskan/


disederhanakan dengan cara berikut :

yz
x 00 01 11 10

1 1 1

xz

F (x,y) = m5 + m7 = xy’z + xyz = xz

Contoh 3 :
Sederhanakan fungsi boolean dibawah ini
: F = x’yz + x’yz’ + xy’z’ + xy’z
Langkah pertama ‘1’ dituliskan pada setiap kotak yang diperlukan untuk
mewakili fungsi tersebut (seperti gambar dibawah ini )

36
yz
x 00 01 11 10

1 1 1 x’y

2 1 1 xy’

Maka fungsi diatas dapat langsung kita sederhanakan dengan hasil sebagai
berikut:
F = x’y + xy’

Contoh 4 :
Sederhanakan F = x’yz + xy’z’ + xyz + xyz’

Penyelesaian :

yz
x 00 01 11 10

0 1 yz

1 1 1 1 xz

Maka fungsi hasil :

F = yz + xz

Contoh 5 :

Sederhanakan fungsi boolean ini

F = A’ C + A’ B + AB’ C + BC
Jika satu persamaan memiliki kurang dari 3 (tiga) variabel input maka ini
mempunyai ‘1’ lebih dari satu kotak.
37
Untuk mencari kotak yang berhubungan dengan A’ C, kita harus
memperhitungkan satu persatu A’ (baris pertama) dan C dua kolom tengah),
maka A’ C ada pada posisi kotak 001 dan 011 maka pemetaan dapat
diperlihatkan pada gambar berikut :

BC
A 00 01 11 10
0 1 1 1 A’ B
1 1 1 C

Maka hasil penyederhanaannya adalah :

F = A’ B + C

Contoh 6 :

F (x,y,z) =  ( 0, 2, 4, 5, 6 )

Yz
X 00 01 11 10

0 1 1 z

1 1 1 1

xy’

F (x,y,z) =  ( 0, 2, 4, 5, 6 )
= xy’ + z’

38
MAP DENGAN EMPAT VARIABEL INPUT

Map untuk fung boolean dengan 4 (empat) variabel input dapat ditunjukkan
pada gambar 2.5-4. Pada gambar (a) menunjukkan daftar dari 16 kombinasi
input, dan gambar (b) menunjukkan hubungan dari setiap kombinasi input.

yz
wx 00 01 11 10

00 w’x’y’z’ w’x’y’z w’x’yz w’x’yz’

01 w’xy’z’ w’xy’z w’xyz w’xyz’

11 wxy’z’ wxy’z wxyz wxyz’

10 wx’y’z’ wx’y’z wx’yz wx’yz’

Gambar 2.5-4. Map dengan 4 Variabel Input


Contoh 7 :
Sederhanakan fungsi boolean dibawah ini :
F (w,x,y,z) =  ( 0, 1, 2, 4, 5, 6, 8, 9, 12, 13, 14 )

Penyelesaian :

yz
wx 00 01 11 10
00 1 1 1 w’z’
01 1 1 1

11 1 1 1
xz’
10 1 1

y’

F (w,x,y,z) =  ( 0, 1, 2, 4, 5, 6, 8, 9, 12, 13, 14 )


= y’ + w’z’ + xz’

39
Contoh 8 :

Sederhanakan fungsi boolean dibawah ini :

F = A’ B’ C’ + B’ C D’ + A’ B C D’ + A B’ C’

Penyelesaian :

CD
AB 00 01 11 10
00 1 1 1 A’ C D’

01 1

11
1 1
10 1 B’ D’

B’ C’

F = B’ D’ + B’ C’ + A’ C D’

Tugas :

Desain rangkaian perubah BCD ke 7-Segment Display


- Jelaskan Kerja dan rangkaian dari 7-Segment Display
- Gambarkan blok diagram dari system ini, identifikasi Input dan Outputnya.
- Buatlah tabel kebenaran dari rangkaian perubah tersebut.
- Lakukan penyederhanaan dari tabel kebenaran diatas.
- Tuliskan hasil penyederhanaan dari masing-masing output.
- Gambar rangkaian perubah tersebut.

40
Modul III
LOGIKA KOMBINASIONAL

Rangkaian logika untuk suatu sistem digital mungkin merupakan rangkaian


kombinasional atau sequential. Rangkaian kombinasional terdiri dari beberapa
gate (gerbang logika) yang mana outputnya ditentukan langsung oleh kombinasi
input saat itu tanpa memperhitungkan input sebelumnya. Pada bab ini akan kita
bahas contoh rangkaian kombinasi sederhana yaitu Adder (penjumlah).

PROSEDUR DESAIN

Sebelum kita membahas pokok permasalahannya, perlu kita perjelas dahulu


bahwa desain dari rangkaian kombinasional dimulai dari layout permasalahan
dan diakhiri dengan rangkaian logika.

Prosedur ini melibatkan step-step sebagai berikut :


1. Pemahaman permasalahan.
2. Penentuan jumlah variabel Input dan variabel output yang dibutuhkan.
3. Penandaan (symbol) Input dan output.
4. Truth table (tabel kebenaran) yang menyatakan hubungan antara input dan
output yang dibutuhkan.
5. Penyederhanaan dengan fungsi boolen untuk setiap output yang ada.
6. Penulisan hasil penyederhanaan ke dalam persamaan aljabar.
7. Penggambaran rangkaian logika.

Truth table untuk rangkaian kombinasional terdiri dari kolom input. Jumlah dari
kombinasi input ditentukan oleh 2n dimana n adalah jumlah variabel input. Harga
biner dari output ditentukan dari analisa pernyataan masalah. Dan output mungkin
berharga ‘0’ atau ‘1’ untuk setiap kombinasi input yang valid. Tetapi
spesifikasinya mungkin menunjukkan bahwa beberapa kombinasi input yang tidak

41
mungkin terjadi. Kombinasi ini disebut kondisi tak menentu (Don’t Care
Condition).
Fungsi output yang ditunjukkan dalam truth table memberikan definisi yang
pasti dari rangkaian kombinasi. Tapi kadang-kadang seorang desainer harus
menggunakan intuisi dan pengalamannya untuk menginterpretasikan masalah
yang biasanya dinyatakan dalam bentuk kalimat. Jika interpretasi itu salah maka
rangkaian logika yang dihasilkan akan salah. Persamaan fungsi boolean yang
dihasilkan dari truth table disederhanakan menggunakan metode-metode yang
tersedia seperti manipulasi aljabar Boolean, metode map dll.

Pada prakteknya desain ini harus memperhatikan ketentuan-ketentuan sbb :


1. Jumlah gate minimum.
2. Jumlah input minimum.
3. Waktu penundaan signal (propagation delay) melalui rangkaian yang minim.
4. Jumlah interkoneksi yang minim.
5. Pembatasan jumlah gate yang dapat dikontrol (fun Out).

42
KONVERSI KODE

Sebagai contoh permasalahan disini dapat diterapkan sistem pnyederhanaan


yang dimaksud di atas. Suatu ragkaian konversi harus diselipkan diantara dua
system yang menggunakan kode berbeda tetapi mempunyai informasi yang
sama. Maka konversi kode adalah suatu rangkaian yang membuat dua sistem
kompatibel meskipun kedua sistem itu menggunakan kode biner yang berbeda.

Untuk konversi dari kode biner A ke kode biner B, jalur input harus mensupply
kombinasi bit dari elemen-elemen seperti ketentuan kode A dan jalur output
harus membangkitkan kombinasi bit yang sesuai dengan kode B.
Kode-kode biner untuk digit desimal itu sendiri memiliki bermacam-macam
kemungkinan kombinasi . Disini dapat ditunjukkan beberapa kemungkinan
kombinasi seperti yang terlihat pada tabel dibawah ini :

Tabel 3.1. Kode biner untuk digit desimal.

Digit Desimal BCD (8 4 2 1) Excess -3

0 0 0 0 0 0 0 1 1

1 0 0 0 1 0 1 0 0

2 0 0 1 0 0 1 0 1

3 0 0 1 1 0 1 1 0

4 0 1 0 0 0 1 1 1

5 0 1 0 1 1 0 0 0

6 0 1 1 0 1 0 0 1

7 0 1 1 1 1 0 1 0

8 1 0 0 0 1 0 1 1

9 1 0 0 1 1 1 0 0

43
BCD (8 4 2 1) merupakan konversi langsung dari sedimal ke kode biner. Kode
biner yang pernah digunakan dalam beberapa komputer generasi awal adalah
EXCESS-3 dimana kode ini dibentuk sesuai dengan harga BCD setelah
ditambah dengan 3.
Contoh :
Desimal 3 -------------- 2 + 3 = 5 Kode Excess-3 adalah 0 1 0 1
Prosedur desain untuk konversi kode dapat digambarkan dengan mengambil
contoh Konversi BCD ke kode Excess-3. Kombinasi bit untuk BCD dan kode
Excess-3 dapat dilihat pada tabel 6.1.
Karena kedua kode tersebut menggunakan 4 bit untuk mewakili digit desimal,
maka terdapat 4 variabel input dan 4 variabel output.
Keempat variabel input disimbolkan A B C D dan outputnya disimbolkan w x y
z. Dan didapatkan tabel kebenaran (truth table) seperti pada tabel 6.2. dibawah ini.

Tabel 3.2. Truth Table untuk contoh Konversi Kode

DEC Input BCD Output Kode Excess-3


A B C D W X Y Z
0 0 0 0 0 0 0 1 1
1 0 0 0 1 0 1 0 0
2 0 0 1 0 0 1 0 1
3 0 0 1 1 0 1 1 0
4 0 1 0 0 0 1 1 1
5 0 1 0 1 1 0 0 0
6 0 1 1 0 1 0 0 1
7 0 1 1 1 1 0 1 0
8 1 0 0 0 1 0 1 1
9 1 0 0 1 1 1 0 0
10 1 0 1 0 X X X X
11 1 0 1 1 X X X X
12 1 1 0 0 X X X X
13 1 1 0 1 X X X X
14 1 1 1 0 X X X X
15 1 1 1 1 X X X X

44
X = don’t care condition

Jika kita analisa, bila terdapat 4 variabel input maka akan terdapat 16 kombinasi
input tetapi pada tabel 3.1. hanya ada 10. Maka 6 kombinasi input yang tidak
tercantum pada tabel tersebut disebut kondisi tak menentu atau don’t care
combination. Seperti terlahat pada tabel 3.2.

Tabel siatas dimanipulasi dengan menggunakan karnough map untuk


mendapatkan persamaan Sum Of Product yang paling sederhana.

Untuk mendapatkan output yang dikehendaki, maka kita harus memperoleh 4


fungsi boolean sesuai dengan jumlah variabel output. Hal ini dapat dijelaskan
seperti dibawah ini.
CD
AB 00 01 11 10
00 1 1 D’

01 1 1

11 X X X X

10 1 X X

Z = D’

CD
AB 00 01 11 10
00 1 1

01 1 1 CD

11 X X X X

10 1 X X

C’D’
Y= C D + C’ D’

45
CD
AB 00 01 11 10
00 1 1 1 B’C

01 1

11 X X X X

10 1 X X

BC’D’ B’D

X = B’C + B’D + BC’D’

CD
AB 00 01 11 10
00 BD

01 1 1 1

11 X X X X BC

10 1 1 X X

W = A + BC + BD

Hasil diatas dapat dimanipulasi dengan metode aljabar boolean :

Z = D’
Y = CD + C’D’ = CD + (C + D)’
X = B’C + B’D + BC’D’
= B’(C + D) + BC’D’
= B’(C + D) + B(C + D)’
W = A + BC + BD
= A + B(C + D)

46
Rangkaian logika dari persamaan dapat digambarkan seperti gambar 6.1.
Didalamnya dapat kita lihat bahwa gerbang OR yang memiliki output C + D
telah digunakan secara parsial oleh 3 output.

D' Z

D CD
C Y

(C + D)'
C+D
B
X

W
A

Gambar 3.1. Rangkaian Logika untuk Konverter BCD ke Excess-3

47
Modul IV
RANGKAIAN SEQUENSIAL

PENDAHULUAN

Pada rangkaian digital kombinasional yang telah dibahas pada bab terdahulu,
dikatakan bahwa output rangkaian kombinasional adalah sepenuhnya tergantung
dari input yang ada untuk segala keadaan.

Meskipun setiap rangkaian digital mempunyai rangkaian kombinasional, tetapi


sistem-sistem yang digunakan pada prakteknya juga memiliki elemen memory
yang mana membutuhkan sistem yang disebut logika sequensial.

Input Eksternal Output

Rangkaian
Internal Input Kombinasional Elemen
Memory

Gambar 4.1.1 Blok Diagram Rangkaian Sequensial

Blok diagram untuk rangkain sequensial dapat dilihat pada gambar diatas.
Sistem ini terdiri dari rangkaian kombinasionaldengan elemen memory yang
membentuk jalur feed-back (umpan balik).

Elemen memory adalah peralatan yang mampu menyimpan informasi biner


didalamnya. Informasi biner yang disimpan dalam elemen memory pada suatu
waktu disebut State (keadaan) dari rangkaian sequensial.

Rangkaian sequensial menerima informasi biner dari input eksternal. Input biner
ini digabungkan dengan state (keadaan) ekemen memory saat itu, akan
menentukan nilai output biner. Keadaan itu juga menentukan kondisi untuk

48
perubahan state (keadaan) pada elemen memory. Blok diagram diatas

49
menunjukkan bahwa output eksternal dari rangkaian sequensial bukan hanya
merupakan fungsi dari input eksternal tetapi juga state (keadaan) elemen
memory saat itu. Rangkaian sequensial mengikuti urutan dari input, output dan
state internal.

Pada prakteknya, sistem digital sequensial menggunakan pulsa atau clock


(pemicu) untuk bekerja, yang mana pulsa atau clock ini merupakan level
tegangan yang dikonversikan dalam signal biner. Dimana amplitudo pulsa
mewakili logika ‘1’ dan tegangan nol (tanpa pulsa) mewakili logika ‘0’.
Biasanya clock ini bekerja secara sinkron dengan pulse train (rentetan pulsa)
dengan periode T seperti ditunjukkan pada gambar dibawah ini.

waktu waktu
tp bit n bit n+1
Amplitudo
tp << T
Qn Qn+1

0 T 2T (n - 1)T nT (n + 1)T

Gambar 4.1.2. Keluaran Osilator master untuk pulse train.

Lebar pulsa tp dimisalkan kecil dibandingkan dengan T. Perpindahan satu


keadaan ke keadaan lain dari sistem hanya terjadi dengan adanya pulsa atau
clock. Qn adalah keadaan output saat itu (sebelum adanya clock) dan Qn+1
keadaan output setelah adanya clock.

Adapun perpindahan keadaan tegangan pada pulsa akan digunakan oleh sistem
sequensial untuk mentrigger (memicu) untuk bekerja, gambaran detail dari
perpindahan ini dapat dilihat pada diagram dibawah ini.

50
LEVEL
+5 Volt

Logika '1'

tr = rise Time
tf = falling time

0 volt (GND)
tf
Logika '0'

tr

Gambar 4.1.3. Diagram sebuah clock

Diagram diatas yang menunjukkan posisi perpindahan level tegangan terbagi


menjadi 3 (tiga) keadaan yang menunjukkan jenis-jenis pentriggeran yang dapat
dipakai :
1. Pentriggeran Level : Sistem pentriggeran yang didasarkan pada
(Level Triggering) level tegangan , jika level tegangan pada
+5 volt maka clock akan bekerja
mentrigger sistem, jika level tegangan
pada 0 volt maka clock tidak bekerja.

2. Pentriggeran Tebing Naik : Sistem pentriggeran yang didasarkan pada

(Positive Edge Triggering) perubahan dari logika ‘0’ ke logika ‘1’,


atau pada tebing naik.

3. Pentriggeran Tebing Turun : Sistem pentriggeran yang didasarkan pada


perubahan dari logika ‘1’ ke logika ‘0’,
(Negative Edge Triggering)
atau pada tebing turun.

Contoh-contoh rangkaian sequensial adalah rangkaian flip-flop, Shift Register,


Counter, RAM dlsb.

51
FLIP – FLOP (FF)

Elemen memory yang digunakan dalam rangkaian sequensial disebut Flip-flop.


Rangkaian ini merupakan sel-sel biner yang mampu menyimpan informasi satu-
bit. Sebuah flip-flop mempunyai dua output, satu untuk harga normal dan yang
lain untuk harga kebalikan (komplemen) dari informasi yang disimpan
didalamnya. Informasi biner dapat dimasukkan dalam flip-flop dengan berbagai
cara, yang menyebabkan timbulnya beberapa tipe flip-flop.

Q
flip-flop

Gambar 4.2.1. Blok Output Flip-flop

S-R FLIP-FLOP (Set – Reset)

Rangkaian flip-flop dapat dibentuk dari 2 (dua) NAND Gate atau 2 (dua) NOR
Gate. Dan rangkaian tersebut adalah dasar dari flip-flop yang dapat digunakan
untuk membentuk rangkaian yang lebih compleks. Hubungan silang dari output
satu gerbang ke input gerbang yang lain merupakan jalur feed-back. Setiap flip-
flop ini mempunyai 2 (dua) output (Q dan Q’) dan dua input Set (S) dan Reset
(R). Flip-flop tipe ini biasa disebut flip-flop S-R sambungan langsung atau
(Latch).

52
S
Q

R Q

Gambar 4.2.2. Rangkaian Flip-flop S-R.

Truth table (tabel kebenaran) dari rangkaian diatas dapat ditunjukkan pada tabel
dibawah ini.

S R Q Q’ KONDISI

1 0 0 1

1 1 0 1 Setelah S=1, R= 0

0 1 1 0

1 1 1 0 Setelah S= 0, R = 1

0 0 1 1 Undeterminate (tak terdefinisi)

Rangkaian S-R flip-flop ini akan menunjukkan kondisi output flip-flop yang
tetap jika kedua inputnya berlogika ‘1’. Pada aplikasinya, input Set (S)
diberikan logika ‘0’ menyebabkan output Q menjadi logika ‘1’ dan Q’ akan
berlogika ‘0’, maka akan membuat flip-flop ini pada keadaan SET.
Setelah input set kembali ke logika ‘1’ dan input Reset ( R ) berlogika ‘0’
menyebabkan perubahan ke keadaan clear (Reset). Jika kedua inputnya
diberikan logika ‘0’ maka kedua outputnya menjadi berlogika ‘1’ dan kondisi
ini disebut kondisi tak terdefinisi (Undeterminate), keadaan ini harus dihindari
untuk dapat
53
beroperasi secara normal. Maka rangkain ini diklasifikasikan sebagai rangkaian
Sequensial Asinkron.

FLIP-FLOP S-R dengan CLOCK

Dengan menambahkan beberapa gerbang ke input rangkaian dasar (S-R Flip-


flop), flip-flop akan memberikan respon terhadap level input saat adanya pulsa
clock. Flip-flop S-r dengan clock, seperti terlahat pada gambar 9.3.1. terdiri dari
flip-flop dasar NAND Gate dan ditambah dengan 2 (dua) NAND Gate.

S A
SQ
Q
Clk
Clk
B
R Q
Q
R

Gambar 4.2.3. Rangkaian Flip-flop S-R dengan Clock

Sebelum adanya clock, output dari dua NAND gate terdekat dengan input
adalah berlogika ‘1’. Apapun keadaan input S-R nya, saat seperti ini flip-flop
dalam keadaan tidak bekerja atau disable.
Saat diberikan clock, flip-flop akan bekerja , output A dan B serta output Q dan
Q’ tergantung dari input S-r. Operasi dari rangkaian dapat dilihat pada tabel
kebenaran, dan hal ini dapat dicek perbaris menggunakan rangkaian pada
gambar 4.2.3.
Waktu kondisi input S = R = berlogika ‘1’ maka Q = Q’ = 1 , hal ini
menyimpang dari ketentuan bahwa Q’ merupakan komplemen dari Q. maka
keadaan ini disebut keadaan tak terdefinisi (Undeterminate).

54
Tabel 4.2.1. Truth Table Flip-flop S-R dengan Clock

INPUT Sebelum Clock Setelah Clock Kondisi

S R Qt Q’t Q t+1 Q’ t+1

0 0 0 1 0 1 Tidak berubah

0 0 1 0 1 0

0 1 0 1 0 1 Reset

0 1 1 0 0 1 Q = 0, Q’ = 1

1 0 0 1 1 0 Set

1 0 1 0 1 0 Q = 1, Q’ = 0

1 1 0 1 1 1 Tak terdefinisi

1 1 1 0 1 1 (Undeterminate)

IC (Integrated Circuit) untuk flip-flop S-R ini jarang dipakai karena masih
terdapat kondisi tak terdefinisi saat S = R = ‘1’, sedangkan ada tipe-tipe flip-flop
lain yang lebih handal untuk mengerjakan sistem yang sama.

D-TYPE FLIP-FLOP ( Data Transfer )

D-Type Flip-flop atau flip-flop tipe-D ini merupakan modifikasi dari flip-flop S-
R dengan Clock yang hanya mempunyai 1 (satu) input D. Modifikasi itu
dilakukan dengan menambahkan Not Gate yang dihubungkan dengan kedua (S
dan R) pada flip-flop S-R, sehingga R merupakan komplemen dari S. Maka
operasi dari tipe-D ini lebih sederhana dibandingkan dengan flip-flop S-R
dengan Clock.

55
D S Q DQ
Clk Clk Clk
R Q Q

Gambar 4.2.4. Rangkaian Flip-flop Tipe-D

Setelah input clock (Clk) berubah dari logika ‘0’ ke logika ‘1’ , bit biner data
pada input D dipindahkan ke output Q, maka output Q setelah clock akan sama
dengan input D. Oleh karena itu flip-flop tipe-D disebut juga Data transfer.

Tabel 4.2.2. Tabel Kebenaran D-Flip-flop

Input Sebelum Clock Setelah Clock

D Qt Q’t Q t+1 Q’ t+1

0 0 1 0 1

0 1 0 0 1

1 0 1 1 0

1 1 0 1 0

56
J-K FLIP-FLOP

J-K flip-flop merupakan versi lain dari modifiksi flip-flop S-R. Flip-flop ini
mempunyai daya guna yang tinggi, karena dapat menghindari kondisi
undeterminate.

Flip-flop ini mempunyai 2 input yang disebut J dan K, dan data set hubungan
feedback yaitu output komplemen Q’ dikombinasikan dengan input J dan clock
melalui gerbang NAND, sedangkan output Q dikombinasikan dengan terminal
K dan clockmelalui gerbang NAND juga.

J JQ
Q
Clk Clk
K Q K Q

Gambar 4.2.5. Rangkaian J-K Flip-flop

57
Tabel 4.2.3.. Truth Table J-K flip-flop

Sebelum Clock Setelah Clock


K Keterangan
J
Qt t Qt+1 t+1

0 0 0 1 0 1 Tetap

0 0 1 0 1 0

0 1 0 1 0 1 Reset

0 1 1 0 0 1

1 0 0 1 1 0 Set

1 0 1 0 1 0

1 1 0 1 1 0 Toggle

1 1 1 0 0 1

58
Modul V
RANGKAIAN KOMBINASIONAL DENGAN MSI
(MEDIUM SCALE INTEGRATION) DAN LSI (LARGE
SCALE INTEGRATION)

Komponen yang digunakan untuk membentuk sistem digital dibuat dalam


paket- paket IC (Integrated Circuit) . Rangkaian SSI (Small Scale Integration)
berisi hanya beberapa gate atau flip-flop dalam satu paket. MSI (Medium Scale
Integration) adalah berupa suatu peralatan yang mempunyai fungsi khusus
(specific), contoh : decoder, multiplexer, ROM, dll. Dan LSI (Large Scale
Integration) berupa peralatan modul komputer mikro yang cukup lengkap.

Ada beberapa rangkaian MSI dan LSI yang dapat diaplikasikan langsung ke
desain dan pengembangan dari rangkaian kombinasional. Teknik-teknik ini
menggunakan prinsip-prinsip umum dari decoder, multiplexer, Read Only
Memory (ROM), programmable logic array (PLA). Keempat IC tersebut
memiliki aplikasi yang sangat luas.

PENJUMLAH (ADDER)

Digital komputer menampilkan bermacam-macam pemrosesan informasi.


Fungsi dasar yang ada diantaranya adalah macam-macam operasi aritmatika.
Operasi aritmatika yang paling dasar adalah penjumlahan dua digit biner.
Penjumlahan sederhana terdiri dari 4 kemungkinan :

0 + 0 = 0 ------- 1 digit
0 + 1 = 1 ------- 1 digit
1 + 0 = 1 ------- 1 digit
1 + 1 = 10 ------- 2 digit

59
Rangakaian kombinasi yang menampilkan penjumlahan dari 2 bit disebut
penjumlah setengah (Half Adder). Dan yang menampilkan penjumlahan 3 bit
disebut penjumlah penuh (Full Adder).

Kenyataannya full adder dapat dibentuk dari 2 half adder dan 1 OR Gate.

HALF ADDER

Dari keterangan global tentang half adder diatas, kita dapat melihat bahwa
rangkaian ini membutuhkan 2 input biner dan 2 output biner. Variabel input
terdiri dari bit yang akan dijumlahkan (AUGEND), dan bit yang penjumlah
(ADDEN). Dan variabel output terdiri dari hasil penjumlahan / SUM (S) dan
carry (C).
Jika 2 bit input kita beri simbol x dan y, sedangkan outputnya adalah S (hasil
penjumlahan) dan C (carry). Kemudian kita buat truth table seperti dibawah ini :

x y C S

0 0 0 0

0 1 0 1

1 0 0 1

1 1 1 0

Persamaan fungsi boolean untuk 2 output dapat ditentukan daru truth table :

S = x’y + xy’ = x  y
C = xy

60
Dan rangkaian logikanya dapat ditunjukkan pada diagram dibawah ini :

x
S
y

Gambar 5.1.1. Rangkaian Half Adder

Dan disimbolkan :

x y

HA

C S

Gambar 5.1.2. Simbol Half Adder

FULL ADDER

Full Adder adalah suatu rangkaian kombinasi yang membentuk penjumlahan


aritmatik dari 3 bit input. Ini terdiri dari 3 Input dan 2 Output. Kedua outputnya
disimbolkan dengan S untuk hasil penjumlahan (Sum) dan C untuk Carry.

61
Maka truth table untuk full adder adalah sebagai berikut :

x y Z C S

0 0 0 0 0

0 0 1 0 1

0 1 0 0 1

0 1 1 1 0

1 0 0 0 1

1 0 1 1 0

1 1 0 1 0

1 1 1 1 1

Hubungan input output dari rangkaian full adder dapat diekspresikan dalam 2
fungsi boolean (satu untuk setiap fungsi membutuhkan metode map untuk
penyederhanaan).

Untuk Output S =
yz
x 00 01 11 10
0 1 1 x’y

1 1 1 xy’

S = xy’z + x’yz’ + xy’z’ + xyz


Untuk Output C =
yz
x 00 01 11 10
0 1 yz

1 1 1 1 xy
xz
C = xy + xz + yz

62
Dari hasil penyederhanaan dengan menggunakan mapping ini yang berupa
persamaan dalam bentuk Sum Of Product (SOP) sudah tidak dapat
disederhanakan lagi, hal ini menyebabkan jumlah gerbang yang digunakan
menjadi relatif banyak sehingga kurang effisien.

Bentuk konfigurasi yang lain dari full adder dapat dikembangkan dengan
pendekatan prosedur matematis (penjumlahan 3 buah bilangan 1 bit), sehingga
didapatkan full adder dapat dibentuk dari 2 (dua) half Adder dan 1 (satu) OR
gate seperti gambar dibawah ini.

y S

Gambar. 5.1.3. Rangkaian Full Adder

Sehingga didapat persamaan dari rangkaian (gambar 5.1.3) full adder adalah
sebagai berikut :
S =z(xy )
C = z(xy’ + x’y) + xy

Dan disimbolkan seperti gambar dibawah ini :

x y

Cout FA Cin

63
Gambar 5.1.4. Simbol Full Adder

64
Persamaan yang dihasilkan dari 2 pendekatan tersebut yaitu dengan mapping
dan prosedur matematis adalah sama, hal ini dapat dibuktikan dibawah ini :

Hasil Penjumlahan S :
S = z  (x  y)
= z’ ( x’y + xy’ ) + z ( x’y + xy’)
= z’ ( x’y + xy’ ) + z [ (x’y)’ . (xy’)’ ]
= z’ ( x’y + xy’ ) + z [ (x + y’) . (x’ + y) ]
= z’ ( x’y + xy’ ) + z ( xx’ + xy + x’y’ + yy’ )
= z’ ( x’y + xy’ ) + z ( xy + x’y’ )
= x’yz’ + xy’z’ + x’y’ z + xyz

dan Output Carry ( C ) :


C = z ( x’y + xy’ ) + xy
= xy’z + x’yz + xy

EMPAT BIT FULL ADDER

Pada sub-bab diatas telah dibahas maslah full adder yang membentuk penjumlah
2 bit dan carry yang timbul sebelumnya. Dua bilangan biner dari n-bit, setiap
bitnya dapat ditambahkan dengan menggunakan rangkaian tersebut. Untuk
memperlihatkan sistem penjumlahan ini, marilah kita lihat contoh berikut :

Misalnya :
A = 1 0 1 1
B = 0 0 1 1 , maka
S = 1 1 1 0

65
Metode penjumlahan ini dapat ditunjukkan dibawah ini :

Subscript i 4 3 2 1 Simbol Full Adder

- Carry Input (Cin) 0 1 1 0 Ci z

- Yang dijumlahkan 1 0 1 1 Ai x

- Penjumlah 0 0 1 1 Bi + y

- Hasil Penjumlahan (S) 1 1 1 0 Si S

- Carry Output (Cout) 0 0 1 1 Ci+1 C

Rangkaian dari penjumlah ini dapat dibentuk dengan beberapa Full Adder
(sesuai dengan jumlah bit yang dijumlahkan) yang dihubungkan secara cascade,
dengan carry output dari suatu full adder dihubungkan ke carry input dari full
adder berikutnya :

x3 y3
x2 y2 x1 y1 x0 y0

Cout FA FA FA FA Cin

S3 S2 S1 S0

Gambar 5.2.1. Rangakaian 4 bit Full Adder

Jika rangkaian 4-bit Full Adder ini dikemas dalam paket IC, maka IC ini
mempunyai 4 (empat) terminal untuk bit yang dijumlahkan dan 4 (empat)
terminal untuk bit-bit penjumlah, dan 2 terminal untuk carry input dan carry
output. Dan 4-bit Full Adder telah dibentuk dalam IC TTL 74283.

66
x3 y3 x2 y2 x1 y1 x0 y0

Cout 4 BIT
FAFULL ADDERF A FA F Cin

S3 S2 S1 S0

Gambar 5.2.2. Blok Diagram 4-bit Full Adder (IC TTL 74283)

67
DECODER

Decoder adalah suatu rangkaian kombinasional yang mengkonversikan informasi


n
biner dari n jalur input ke maksimum 2 terminal output.

n
Decoder yang dimaksud adalah biasa disebut decoder n ke m jalur dimana m  2
, dan ini bertujuan untuk membangkitkan output 2n (atau kurang) dari n variabel
input. Decoder ini juga banyak digunakan pada konversi kode seperti decoder
BCD to 7-Segment.

D0 = x'y'z'

D1 = x'y'z

x
D2 = x'yz'

y
D3 = x'yz

z
D4 = xy'z'

D5 = xy'z

D6 = xyz'

D7 = xyz

Enable

Gambar 5.3.1. Decoder 3 - 8 Jalur

68
Sebagai contoh, amati rangkaian decoder 3 ke 8 jalur seperti terlihat pada gambar
5.1.1. Untuk 3 buah input yang dikodekan dalam 8 output, setiap output
mewakili satu kombinasi input.

Ada 3 gerbang not yang berfungsi sebagai inverter dan 8 gerbang NAND untuk
membangkitkan setiap kombinasi input. Aplikasi khusus dari rangkaian ini
adalah untuk mengkonversikan BCD ke Oktal. Variabel input mewakili sebuah
bilangan biner dan outputnya akan mewakili 8 digit dalam sistem oktal. Dekoder
3 ke 8 jalur ini telah dibuat dalam satu paket IC TTL tipe 74 138, dengan tabel
kebenaran seperti dibawah ini.

TABEL 5.3.1. Truth Tabel dari Decoder 3 ke 8 Jalur

Input Output

x Y z D0 D1 D2 D3 D4 D5 D6 D7

0 0 0 0 1 1 1 1 1 1 1

0 0 1 1 0 1 1 1 1 1 1

0 1 0 1 1 0 1 1 1 1 1

0 1 1 1 1 1 0 1 1 1 1

1 0 0 1 1 1 1 0 1 1 1

1 0 1 1 1 1 1 1 0 1 1

1 1 0 1 1 1 1 1 1 0 1

1 1 1 1 1 1 1 1 1 1 0

69
Latihan 5.1.

Desain sebuah decoder dari BCD ke Desimal , dalam hal ini adalah 10 digit
desimal yang diekspresikan dalam BCD (4 bit).
Maka Decoder tersebut akan membentuk 4 ke 10 jalur ( Decoder BCD ke
Desimal).
Dengan ketentuan menggunakan tabel kebenaran berikut ini.
TABEL 5.3.2. Truth Tabel Decoder 4 ke 10 Jalur

Input Output

W X Y Z
0 0 0 0 D0

0 0 0 1 D1

0 0 1 0 D2

0 0 1 1 D3

0 1 0 0 D4

0 1 0 1 D5

0 1 1 0 D6

0 1 1 1 D7

1 0 0 0 D8

1 0 0 1 D9

1 0 1 0 Don’t Care

1 0 1 1 Don’t Care

1 1 0 0 Don’t Care

1 1 0 1 Don’t Care

1 1 1 0 Don’t Care

1 1 1 1 Don’t Care

70
Untuk memudahkan penggambaran perlu dilakukan penyederhanaan dengan
metode mapping.

YZ
WX 00 01 11 10
00 D0 D1 D3 D2

01 D4 D5 D7 D6

11 X X X X

10 D8 D9 X X

Contoh penyederhanaan :

D3 = X’ YZ
D8 = WZ’
D9 = WZ

Sedangkan Decoder BCD ke Desimal ini sudah dikemas dalam IC TTL dengan
tipe 74 42.

DEMULTIPLEXER (DEMUX)

Beberapa IC decoder disusun dari beberapa gerbang NAND karena gerbang


NAND memiliki 2 (dua) operasi yaitu operasi AND dan operasi NOT
(pembalikan), ini membuat semakin murahnya harga IC.

Kebanyakan IC decoder mempunyai 1 (satu) Enable input (mengaktifkan


rangkaian) atau untuk mengontrol operasi dari rangkaian tersebut.

71
Sebuah decoder 3 ke 8 jalur dengan sebuah enable input yang dibentuk dari
gerbang NAND dapat diperlihatkan pada gambar 8.1. dengan truth table yang
ada pada TABEL 5.4.1. dibawah ini.

TABEL 5.4.1. Truth Tabel Decoder 3 ke 8 jalur dengan Enable

Enable Input Output

(En) x y z D0 D1 D2 D3 D4 D5 D6 D7

1 x x x 1 1 1 1 1 1 1 1

0 0 0 0 0 1 1 1 1 1 1 1

0 0 0 1 1 0 1 1 1 1 1 1

0 0 1 0 1 1 0 1 1 1 1 1

0 0 1 1 1 1 1 0 1 1 1 1

0 1 0 0 1 1 1 1 0 1 1 1

0 1 0 1 1 1 1 1 1 0 1 1

0 1 1 0 1 1 1 1 1 1 0 1

0 1 1 1 1 1 1 1 1 1 1 0

Semua output akan berlogika ‘1’ jika Enable input (En) berlogika ‘1’ untuk
semua kombinasi input (x, y, ,dan z). Jika Enable (En) berlogika ‘0’ maka
rangkaian ini beroperasi seperti decoder biasa. Ini menunjukkan bahwa Enable
input tersebut adalh aktif rendah (low) atau akan aktif apabila diberikan logika
‘0’.

Decoder dengan 1 (satu) Enable input dapat berfungsi sebagai


DEMULTIPLEXER (DEMUX).

Demultiplexer adalah suatu rangkaian yang menerima informasi dari satu jalur
n
(satu terminal) dan mentransfer informasitersebut ke salah satu dari 2

72
kemungkinan jalur output. Perubahan fungsi dari Decoder menjadi Demultiplexer
dapat dilihat pada blok diagram dibawah ini menggunakan Decoder 2 ke 4 jalur.

D0 D0
x
DECODER 2 DEMULTIPLEXER
TO 4 LINE D1 En 1 TO 4 LINE
D1
y
D2 D2

D3 D3
En

x y

DECODER DENGAN ENABLE DEMULTIPLEXER

Gambar 5.4.1. Blok Diagram Perubahan fungsi Decoder ke Demultiplexer

Decoder 2 ke 4 jalur dapat berfungsi sebagai Demultiplexer jika Enable input


digunakan sebagai jalur input dan terminal input x dan y digunakan sebagai
jalur seleksi.
Rangkaian Decoder / Demultiplexer dapat dihubungkan bersama untuk
membentuk rangkaian Decoder yang lebih besar. Gambar dibawah ini
menunjukkan dua buah Decoder 2 ke 4 jalur dengan enable input yang
dihubungkan bersama untuk membentuk Decoder 3 ke 8 jalur.

A
MSB
D0
x
B
DECODER 2
TO 4 LINE D1
y
C D2
D3

En

D4
x
DECODER 2
TO 4 LINE D5
y
D6
D7

En

73
Gambar 5.4.2. Decoder 3 ke 8 yang terbentuk dari 2 buah decoder 2 ke 4 jalur.

74
ENCODER

Encoder adalah suatu fungsi digital yang mempunyai operasi kebalikan dari
n
Decoder. Encoder mempunyai 2 (atau kurang) jalur input dan n jalur output
n
membangkitkan kode biner untuk 2 variable input.

Satu contoh Encoder dapat dilihat pada gambar 8.4, yaitu Encoder oktal ke biner
memiliki 8 input dan 3 output yang membangkitkan bilangan biner tertentu.

Rangkaian ini dibentuk dengan menggunakan gerbang OR yang outputnya


ditentukan dari truth table pada Tabel 5.5.1.

D0
X = D4 + D5 + D6 + D7
D1
D2
D3 Y = D2 + D3 + D6 + D7
D4
D5
D6 Z = D1 + D3 + D5 + D7
D7

Gambar 5.5.1. Encoder Oktal ke Biner

75
Tabel 5.5.1. Truth Table dari Encoder Oktal ke Biner.

INPUT OUTPUT

D0 D1 D2 D3 D4 D5 D6 D7 X Y Z

1 0 0 0 0 0 0 0 0 0 0

0 1 0 0 0 0 0 0 0 0 1

0 0 1 0 0 0 0 0 0 1 0

0 0 0 1 0 0 0 0 0 1 1

0 0 0 0 1 0 0 0 1 0 0

0 0 0 0 0 1 0 0 1 0 1

0 0 0 0 0 0 1 0 1 1 0

0 0 0 0 0 0 0 1 1 1 1

Encoder dalam gambar 5.5.1. ini mengasumsikan bahwa hanya satu jalur input
sama dengan ‘1’1 pada setiap waktu. Jika ada dua atau lebih logika ‘1’ maka
rangkaian ini tidak berarti.

Karena jika suatu rangkaian mempunyai 8 variabel input, maka akan mempunyai
8
2 = 256 kombinasi input. Sedangkan disini yang mempunyai arti hanya 8
kombinasi input, kombinasi yang laian adalah pada kondisi diabaikan (don’t care).

MULTIPLEXER

Multiplexing berarti pengiriman (transmisi) satu unit informasi dalam jumlah


besar melalui jumlah chanel/jalur yang lebih sedikit.
Digital Multiplexer adalah suatu rangkaian kombinasional yang memilih
informasi biner dari beberapa jalur input dan mengeluarkan satu jalur output.

76
Seleksi untuk jalur input tertentu dikontrol oleh satu set jalur seleksi. Multiplexer
4 jalur ke 1 jalur adalah ditunjukan pada gambar 5.6.1.

I0

I1
Y
I2

I3

S1
MULTIPLEXER 4 x 1
S0

Gambar 5.6.1. Rangkaian Logika dari Multiplexer 4 – 1 jalur.

I I0
N I1 MUX
I2 4 X 1 Y OUTPUT
P I3
U S1S0
T

JALUR SELEKSI

Gambar 5.6.2. Simbol Multiplexer 4 x 1.

77
Tabel 5.6.1. Truth Table Multiplexer 4 x 1

S0
S1 Y

0 0 I0

0 1 I1

1 0 I2

1 1 I3

Setiap jalur input (I0 sampai dengan I3) dihubungkan ke salah satu input AND
gate. Jalur seleksi S0 dan S1 digunakan untuk memilih AND gate tertentu (lihat
gambar 5.6.1.). Tabel kebenaran (truth table) 9.1. menunjukkan daftar input
output untuk setiap kombinasi input dari jalur seleksi.

Multiplexer juga bisa disebut pen-seleksi data (atau data selektor) karena
rangkaian ini memilih salah satu dari beberapa input dan mengontrol informasi
biner ke jalur output.

n n
Pada umumnya, Multiplexer 2 ke 1 jalur disusun dari sebuah decoder n ke 2
n
dan ditambah dengan 2 jalur input untuk setiap input AND gate. Keluaran dari
AND gate itu dihubungkan ke input OR gate untuk membentuk satu jalur output.
Multiplexer biasa disebut dengan MUX.

Implementasi Aljabar Boolean

Pada aplikasinya, multiplexer juga dapat digunakan untuk meng-implementasi-


n
kan aljabar Boolean dari n variabel dengan menggunakan 2 ke 1 Multiplexer.

78
Jika kita memiliki suatu fungsi boolean dengan n+1 variabel, maka kita pilih
salah satu variabel sebagai input multiplexer dari I0, I1, …,In-1, sedangkan
sisanya dihubungkan dengan jalur seleksi. Dengan demikian , akan membentuk
n n
fungsi n variabel dengan 2 ke 1 multiplexer (2 x 1 MUX).

Sebagai contoh :
Kita memiliki fungsi boolean F (A,B,C) =  (1, 3, 5, 6) Untuk meng-
implementasi-kan fungsi diatas, ikuti prosedur dibawah ini :
1. Fungsi diatas memiliki 3 variabel (A, B, dan C) dengan tabel kebenaran
sebagai berikut :

Minterm A B C F

0 0 0 0 0

1 0 0 1 1

2 0 1 0 0

3 0 1 1 1

4 1 0 0 0

5 1 0 1 1

6 1 1 0 1

7 1 1 1 0

2. Pilih salah satu variabel sebagai input multiplexer, misalnya kita pilih
variabel A sebagai input multiplexer.
3. Maka variabel yang tersisa adalah 2 variabel yaitu variabel B (msb) dan C
(lsb), yang akan kita hubungkan ke jalur seleksi Multiplexer. Dari variabel
n
yang tersisa maka multiplexer yang tepat untuk dipakai adalah 2 ke 1

dimana n = 2 (jumlah variabel tersisa), maka multiplexer yang dipilih adalah


22 ke 1 MUX atau 4 x 1 MUX, dengan hubungan jalur seleksi S1 hihubungkan
dengan variabel B dan S0 disambungkan ke variabel C.

79
4. Buat tabel implementasi dengan MUX 4x1 dan variabel terpilih adalah A.

I0 I1 I2 I3

A’ 0 1 2 3

A 4 5 6 7

5. Lingkarilah minterm yang berlogika ‘1’

I0 I1 I2 I3

A’ 0 1 2 3

A 4 5 6 7

----- Hasil tabel implementasi 0 1 A A’

Dan hasil tabel implementasi dapat dibaca dengan ketentuan sebagai berikut :
a. Jika dalam 1 kolom input, kedua-duanya tidak dilingkari, maka terminal
input tersebut dihubungkan ke ‘0’.
b. Jika dalam 1 kolom input, kedua-duanya dilingkari, maka terminal input
tersebut dihubungkan ke ‘1’.
c. Jika dalam 1 kolom input, salah satu minterm yang dilingkari maka perlu
dilihat pada baris mana yang dilingkari. Jika yang dilingkari berada pada
baris A’ maka terminal input tersebut dihubungkan ke A’, begitu juga jika A,
maka terminal input tersebut dihubungkan ke A (lihat tabel implementasi).

80
d. Gambarkan rangkaian hasil implementasi.

0 I0

1 I1 MUX Y F
I2 4 X 1
A
I3
S1S0

B
C

Gambar 5.6.3. Rangkaian hasil implementasi dengan MUX 4x1.

81
Modul VI

REGISTER DAN COUNTER

PADA RANGKAIAN SEQUENSIAL MSI DAN LSI

Rangkaian sequensial dengan clock terdiri dari satu kelompok flip-flop dan
gerbang-gerbang kombinasional yang dihubungkan untuk membentuk sebuah
jalur feed-back. Flip-flop itu penting, karena dengan keberadaannya, rangkaian
tersebut dapat mengurangi jumlah kebutuhan gerbang, sedangkan pada
rangkaian kombinasional murni tidak memiliki jalur feed-back.
Rangkaian yang hanya terdiri dari flip-flop bisa juga disebut rangkaian
sequensial biarpun tanpa gerbang kombinasional.

Sebuag rangkaian MSI (Medium Scale Integration) yang berisi sel-sel


penyimpan data (storage) didalamnyabisa juga termasuk rangkaian
sequensial.Rangkaian MSI yang memiliki flip-flop atau sel-sel penyimpan yang
lain biasanya diklasifikasikan berdasarkan fungsi yang ditampilkannya, bukan
berdasarkan namanya “rangkaian sequensial’. Rangkaian MSI ini
diklasifikasikan menjadi 3 katagori yaitu : Register, Counter (Pencacah), dan
Random Access Memory (RAM).

Register adalah suatu kelompok sel-sel penyimpan biner yang baik untuk
menahan informasi biner. Satu kelompok flip-flop merupakan sebuah register,
karena setiap flip-flop adalah sebuah sel yang mampu menyimpan satu-bit
informasi. Sebuah register n-bit memiliki 1 kelompon n flip-flop dan mampu
untuk menyimpan informasi biner yang berisi n-bit. Selain mempunyai flip-flop,
sebuah register kadang mempunyai gerbang kombinasional untuk menjalankan
pemrosesan data secara spesifik. Flip-flop digunakan untuk menahan informasi
biner dan gerbang kombinasional digunakan untuk mengontrol kapan dan
bagainama informasi itu ditransfer ke dalam register.

Counter (pencacah) adalah sebuah register yang bekerja saat adanya pulsa input
82
yang dialirkan melalui urutan keadaan yang telah ditentukan. Gerbang-gerbang

83
dalam counter dihubungkan sedemikian rupa untuk menghasilkan urutan
tertentu dari keadaan biner pada register. Meskipun counter adalah type khusus
dari register, orang sering membedakan mereka dengan memberi nama khusus
‘counter’.

SHIFT REGISTER (REGISTER GESER)

Suatu register yang dapat melakukan pergeseran informasi biner ke kiri atau ke
kanan disebut register geser. Konfigurasi logika dari sebuag register geser terdiri
dari sebuah rangkaian flip-flop yang dihubungkan secara cascade, yaitu output
dari salah satu flip-flop dihubungkan ke input flip-flop berikutnya. Dan semua
flip-flop menerima pulsa clock dalam waktu yang bersamaan dan menyebabkan
pergeseran dari satu keadaan ke keadaan berikutnya.
Ditinjau dari sistem input-outputnya register geser tebagi menjadi :
a. Serial Input Serial Output (SISO)
b. Serial Input Paralel Output (SIPO)
c. Paralel Input Paralel Output (PIPO)
d. Paralel Input Serial Output (PISO)

Serial Input Serial Output (SISO)

Serial Input ditentukan oleh data yang masuk ke flip-flop paling kiri (Data
Input) dan serial output diambil dari output flip-flop paling kanan (QD).

D D Q D Q D Q
Input Data
Output Data
Q Clk Clk Clk

Clk Q Q
Clock
Q
Q

Gambar 6.1.1. Rangkaian Shift Register SISO

84
Operasi kerja dari rangkaian ini dapat digambarkan melalui tabel kebenaran dan
timing diagram dibawah ini.

Tabel 6.1.1. Tabel operasi SISO Data = 1 1 0 1

Clock QA QB QC QD
0 0 0 0 0
1 1 0 0 0
2 0 1 0 0
3 1 0 1 0 Out paralel
4 1 1 0 1
5 0 1 1 0
6 0 0 1 1 Data terakhir
7 0 0 0 1
8 0 0 0 0

Timing diagram

DATA = 1 1 0 1(Lsb)
CL OCK 1 2 3 4 5 6 7 8 9

QA
B
Q
C
Q
D
Q

Gambar 6.1.2. Timing Diagram Shift Register SISO & SIPO

Dari Operasi diatas dapat dilihat bahwa data yang pertama kali dimasukkan ke
input (input data) akan keluar ke output (QD) setelah clock yang ke 4. Dan data
terakhir akan keluar ke output (QD) setelah clock yang ke 7.

85
Serial Input Paralel Output (SIPO)

Pengambilan inputnya sama dengan hift register SISO, tetapi outputnya diambil
dari output Q dari setiap flip-flop (QA,QB, QC, QD).

Output Data Paralel

QA QB QC QD

DQ DQ DQ DQ
Input
Data Clk Clk Clk Clk

Q Q Q Q
Cl
oc
k

Gambar 6.1.3. Rangkaian Shift Register SIPO

Sedangkan operasi dari register ini dapat dijelaskan seperti pada Tabel 6.1.1 dan
timing diagram pada gambar 6.1.2. (SISO). Akan tetapi output SIPO akan
terjadi setelah clock ke 4, semua data yang diinputkan telah tertransfer ke output
paralel (QA, QB, QC, QD).

86
Paralel Input Paralel Output (PIPO)

Pada sistem ini, semua input masuk ke input masing-masing flip-flop dan
ditransfer secara bersama-sama ke setiap output flip-flop saat diberikan clock
(hanya 1 kali).

Output Data Paralel

QA QB QC QD

DQ DQ DQ DQ
Clk Clk Clk Clk

Q Q Q Q
Cl
oc
k

DA DB DC DD
Input
Data
Paralel

Gambar 6.1.4. Rangkaian Shift Register PIPO

Paralel Input Serial Output (PISO)

Rangkaian dari sistem ini agak sedikit berbeda dengan jenis register geser
lainnya, pada sistem ini data diinputkan secara paralel dan akan ditransfer ke
output dalam bentuk serial (satu per satu).
Sedangkan rangkaian PISO ini dapat dibentuk dengan bermacam-macam cara
antara lain dengan menggunakan flip-flop yang ditambahkan dengan beberapa
gerbang kombinasional, menggunakan multiplexer dan counter, dll.

87
COUNTER (PENCACAH)
DEFINISI
Rangkaian sequensial yang bekerja menurut suatu urutan yang telah ditentukan
berdasarkan pulsa input disebut COUNTER (Pencacah). Pulsa input, biasanya
disebut count pulse (pulsa hitung), mungkin berupa pulsa digital atau pulsa yang
berasal dari sumber lain dan merupakan interval waktu tertentu atau acak.
Counter ini dapat dijumpai pada hampir semua peralatan yang berisi logika
digital. Biasanya digunakan untuk menghitung jumlah suatu kejadian dan juga
berguna untuk membangkitkan urutan waktu (timing sequence) untuk
mengontrol operasi dari suatu sistem digital.

Sebuah counter yang bekerja berdasarkan urutan biner disebut counter biner
(binary counter). Sebuah n-bit counter biner tersusun dari n flip-flop dan dapat
menghitung dalam biner dari 0 sampai dengan 2n –1.

Suatu contoh, diagram kondisi (state diagram) untuk 3-bit counter ditunjukkan
pada gambar 10.1. di bawah ini . Seperti kondisi biner yang berada dalam
lingkaran, output dari flip-flop akan mengulangi urutan hitungan, dengan kata
lain hitungan akan kembali ke 0 setelah mencapai 111.

000

111 001

110 010

101 011

100

Gambar 10.1. State diagram untuk 3-bit counter biner.

88
Dalam counter ini hanya mempunyai 1 (satu) inputan, yaitu pulsa hitung (count
pulse), output nya tergantung dari kondisi flip-flop saat itu (present state). Dan
kondisi berikutnya juga tergantung keadaan flip-flop saat itu . Karena keadaan
diatas maka counter ini ditentukan oleh urutan hitungan (count sequence) yaitu
urutan state biner.

4-BIT BINARY COUNTER

Binary counter atau counter biner adalah counter yang menghitung pulsa yang
masukdan menghasilkan output berupa bilangan biner.

4-bit binary counter adalah counter yang terdiri dari 4 buah flip-flop dengan 4
buah terminal output dan memiliki hitungan dari 0000 (0) sampai 1111 (15)
yang berupa bilangan biner.

D (LSB) C B A

J Q J Q J Q JQ
Input
Clk Clk Clk Clk

K Q K Q K Q K Q
Reset

Gambar 10.2. Rangkaian 4-bit Binary Counter.

Keempat flip-flop diatas dihubungkan secara cascade, hanya ada 1 (satu) flip-
flop saja yang dihubungkan langsung ke sumber (input), sehingga bekerjanya
secara bertahap, oleh karena itu counter tersebut disebut Assynchronous
Counter atau Serial Counter. Tabel 10.1. dibawah ini menunjukkan kerja 4-bit
binary counter.
89
TABEL 6.2.1. Tabel kebenaran 4-bit binary counter.

Clock QA QB QC QD

0 0 0 0 0
1 0 0 0 1
2 0 0 1 0
3 0 0 1 1
4 0 1 0 0
5 0 1 0 1
6 0 1 1 0
7 0 1 1 1
8 1 0 0 0
9 1 0 0 1
10 1 0 1 0
11 1 0 1 1
12 1 1 0 0
13 1 1 0 1
14 1 1 1 0
15 1 1 1 1

Counter ini terbentuk dari J-K flip-flop yang memiliki sistem pentriggeran
tebing turun (negative edge triggering) atau valid jika terjadi perubahan signal
dari logika 1 ke logika 0.

Assumsi awal (clock ke 0) semua output adalah 0 (0000), dan hal ini dapat
dilakukan dengan menghubungkan terminal reset ke ground sesaat, dengan
demikian counter tersebut siap untuk menghitung.

Saat pulsa pertama (clock ke 1) masuk atau clock bergerak dari 1 ke 0 maka QD
akan berubah dari 0 ke logika 1. Pada flip-flop C, pada terminal clock, pulsa
bergerak dari 0 ke logika 1, sehingga output QC akan tetap berlogika 0.
Sedangkan output Qb dan QA juga akan tetap 0 karena inputnya masih tetap,
Maka setelah pulsa pertama keadaan output counter (QA,QB,QC,QD) adalah
0001.

90
Pada saat pulsa ke 2 datang, maka QD akan berubah dari logika 1 ke logika 0.
Perubahan ini diteruskan ke flip-flop C sehingga QC berubah dari logika 0 ke
logika 1, dan perubahan ini tidak mentrigger flip-flop B dan flip-flop A
sehingga QB dan QA tetap berlogika 0.

Setelah pulsa ke 3 datang, output counter (QA,QB,QC,QD) adalah 0011, setelah


pulsa ke 4 0100, pulsa ke 5 0101, dan seterusnya hingga pulsa ke 15 1111. Pada
pulsa berikutnya (ke 16) output akan kembali ke 0000. Dan perhitungan
berikutnya akan mulai seperti semuala lagi. Dengan demikian 4-bit binary
counter hanya bisa menghitung dari 0 hingga 15.

Gambar 6.2.3. memperlihatkan timing diagram dari 4-bit binary counter tersebut.

CL OCK 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20

QD
C
Q
B
Q
A
Q
imal 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 0 1 2 3

Des

Gambar 6.2.3. Timing diagram 4-bit binary counter.

Jika kita perhatikan frequensi dari keempat output counter pada timing diagram
tersebut, nampak bahwa QD = ½ f pulsa input, Frequensi QC = ½ f ; Frequensi QB
QD

=½f QC dan frequensi QA = ½ f QB , Kalau dibandingkan antara frequensi pulsa


input dengan frequensi QA, maka frequensi QA = 1/16 x f pulsa input .

91
Dengan demikian 4-bit binary counter mampu membagi frequensi menjadi 16
kali. Oleh karena itu 4-bit binary counter bisa disebut devide by 16 counter atau
modulus 16 counter (Modulo 16).

Decimal Counter

Decimal counter atau biasa disebut sebagai BCD Counter adalah merupakan
counter yang dapat menghitung dari 0 s/d 9 (memiliki 10 hitungan), oleh karena
itu bisa disebut modulus 10 (modulo 10) yang disingkat Mod 10.

Secara prinsip, counter ini memiliki cara kerja yang sama dengan binary
counter, hanya saja hitungannya dibatasi sampai 9.

D (LSB) C B A

J Q J Q J Q JQ
Input
Clk Clk Clk Clk
K Q K Q K Q K Q

Gambar 6.2.4. Rangkaian Decimal Counter.

92
TABEL 6.2.2. Truth Table dari Decimal Counter.

Clock QA QB QC QD
0 0 0 0 0
1 0 0 0 1
2 0 0 1 0
3 0 0 1 1
4 0 1 0 0
5 0 1 0 1
6 0 1 1 0
7 0 1 1 1
8 1 0 0 0
9 1 0 0 1
10 1 0 1 0
--------- Posisi Reset

Kondisi awal dari counter ini diassumsikan memiliki output (QA,QB,QC,QD)


0000. Counter ini akan terus menghitung jika ada pulsa masuk, sampai hitungan
9 atau 1001. Saat pulsa ke 10 masuk maka output counter (QA,QB,QC,QD)
menjadi 1010 , QA dan QC sama-sama berlogika 1. Karena QA dan QC
terhubung dengan NAND gate , maka output NAND gate akan berlogika 0,
sehingga keadaan ini akan mereset counter hingga output counter kembali ke
0000 lagi. Proses ini dilakukan dalam waktu yang sangat singkat (15ns sampai
20ns propagation delay IC TTL 7400), sehingga output 1010 seolah-olah tidak
tampak.

Decimal counter ini dapat dirakit menggunakan IC TTL 7490 atau 7493 dimana
kedua IC tersebut telah dilengkapi dengan NAND gate didalamnya.

Dengan menggunakan IC TTL 7493 ini, juga dapat dibentuk Modulo counter,
seperti Modulo (Mod) 4, Mod 4, Mod 5, Mod 8 dan sebagainya.

93
Up – Down Counter
Dari cara penghitungannya, ada 2 jenis counter yaitu Up-Counter (penghitung
naik) dan Down-Counter (penghitung turun), akan tetapi pada aplikasinya kedua
jenis counter ini banyak dikemas dalam satu paket rangkaian yang biasa disebut
Up/Down Counter.
Up/Down counter ini dapat disusun menggunakan J-K flip-flop atau D flip-flop
yang dihubungkan cascade seperti pada binary counter.

B (LSB) A TABEL KEBENARAN

Clock QA QB DEC Kondisi

J Q 0 0 JQ 0 0 U
p
Input 1 0 1 1
Clk Clk C
2 1 0 2 o
K Q 3 1 K 1 Q 3
u
n
t
4 0 0 0

Gambar 6.2.5. Rangkaian Up Counter 2-bit dan Truth Table-nya.

B (LSB) A TABEL KEBENARAN

Clock QA QB DEC Kondisi


D
0 0 0 0 o
J Q JQ 1 w
1 1 3 n
Input 2 1
Clk Clk 0 2 C
o
3 0 1 1 u
K Q K Q n
4 0 0 0 t

Gambar 6.2.6. Rangkaian Down Counter 2-bit dan Truth Table-nya.


Dari gambar 6.2.5. dan 6.2.6. memperlihatkan hubungan penyusunan up counter
dan down counter (2-bit). Perbedaan antara up counter dan down counter hanya
terletak pada penyambungan output flip-flop 1 dengan clock flip-flop 2, Up
counter output flip-flop 1 (Q) dihubungkan ke clock flip-flop 2, dan down
counter output Q’ flip-flop 1 dihubungkan ke clock flip-flop 2.

94
B (LSB) A

J Q J Q
INPUT A

Clk 0
Clk
B

K Q
UP/DOWN K Q

Gambar 6.2.7. Rangkaian Pengganti Up/Down Counter 2-bit.

B (LSB) A

J Q J Q
INPUT A
Clk 0

B
Cl
k
Q
K Q
UP/DOWN
K

Gambar 6.2.8. Rangkaian Up/Down Counter 2-bit.

Untuk membentuk Up/Down Counter, diperlukan saklar 2 posisi yang dapat


dikontrol dari terminal up/down, seperti diperlihatkan dari 10.7. Sedangkan
saklar mekanis tersebut dapat digantikan dengan gerbang tambahanyaitu
gerbang Ex-OR (Exclusive OR)seperti terlihat pada gambar 10.8. Sedangkan
cara kerja dari rangkaian ini dapat ditunjukkan pada tabel kebenaran berikut ini.

95
Tabel 6.2.3. Truth Table 2-bit Up/Down Counter

Clock Up/Down QA QB Desimal


0 0 0 0 0
1 0 0 1 1
2 0 1 0 2
3 0 1 1 3
4 0 0 0 0
5 0 0 1 1
6 1 0 0 0
7 1 1 1 3
8 1 1 0 2
9 1 0 1 1
10 1 0 0 0

Timing diagram dari up/down counter diatas dapat ditunjukkan pada


gambar dibawah ini.

CL OCK 1 2 3 4 5 6 7 8 9 10 11

Up/ Down

B
Q
A
Q

imal 0 1 2 3 0 1 0 3 2 1 0
Des

Gambar 6.2.9. Timing diagram untuk U/D Counter 2- bit

96
Synchronous Counter (Pencacah Sinkron)

Perbedaan synchronous counter dengan asynchronous counter hnya terletak


pada penyambungan clocknya saja (sistem pentriggeranya), pada synchronous
counter semua terminal clock dari flip-flop yang terpasang disambungkan
menjadi satu dan berfungsi sebagai input counter. Dan urutan hitungan
(output) dari counter jenis ini sama dengan asynchronous counter.

Tabel 6.2.4. Tabel Eksitasi untuk 3-bit synchronous counter

Dec. Urutan Hitungan Input Flip-flop


A2 A1 A0 TA1 TA2 TA3
0 0 0 0 0 0 1
1 0 0 1 0 1 1
2 0 1 0 0 0 1
3 0 1 1 1 1 1
4 1 0 0 0 0 1
5 1 0 1 0 1 1
6 1 1 0 0 0 1
7 1 1 1 1 1 1

Tabel eksitasi ini dapat dibuat berdasarkan urutan hitungan, Untuk efisiensinya
counter ini disusun dengan menggunakan flip-flop T (T-flip-flop).

Untuk mendasai rangkaian logika dari counter ini, maka kita harus
menyederhanakan tiap input flip-flop dengan menggunakan metode mapping.

97
A1A0
A2 00 01 11 10
0 1

1 1
A1A0
TA2= A1A0

A1A0
A2 00 01 11 10
0 1 1

1 1 1

TA1= A0

A1A0
A2 00 01 11 10
0 1 1 1 1

1 1 1 1 1

TA0= 1

A0 A1 A2

TQ TQ
1TQ Clk
Q Clk Clk

Q Q
Reset

Input

Gambar 6.2.10. Rangkaian Logika 3-bit synchronous counter.

98
Synchronous Up/ Down Counter dengan Mode Control

Rangkaian ini adalah synchronous up/down counter (yang dapat di tukar/tukar),


ada 2 macam IC TTL dalam hal ini yaitu IC TTL 74191 / 74 LS 191 adalah 4-
bit binary counter dan IC TTL 74190 / 74 LS 190 adalah BCD counter.
Pengoperasian sinkron (Synchronous Operation) dapat dilakukan dengan
pemberian clock ke flip-flop secara bersama-sama, maka output counter akan
berubah bersama-sama jika ditrigger oleh suatu perubahan clock.

Output dari keempat master-slave flip-flop ditrigger oleh perubahan logika input
clock dari low ‘0’ ke high ‘1’ atau biasa disebut pentriggeran tebing naik, dan
jika enable input berlogika low atau ‘0’.Jika enable input berlogika ‘1’ maka
counter tidak bekerja (inhibit). Perubahan logika (level) untuk enable input dan
up/down input hanya boleh dilakukan saat clock input berlogika ‘1’. Arah
penghitungan (naik/turun) counter dapat ditentukan melalui logika dari terminal
up/down, jika terminal up/dowm berlogika ‘0’ maka counter akan akan
melakukan penghitungan naik (up), dan jika berlogika ‘1’ maka counter
melakukan penghitungan turun (down).

Counter ini dapat diprogram, output counter dapat diset dengan cara
memasukkan data yang diinginkan ke terminal data input , dan memberikan
logika ‘0’ sesaat pada terminal LOAD, selanjutnya output akan berubah sesuai
perubahan input clock. Fasilitas ini menyebabkan counter ini dapat digunakan
untuk membentuk counter Modulo-N yaitu merubah jumlah hitungan dengan
cara merubah data input (preset Input).

Terminal input clock, up/down, dan load ter-buffer dengan inverter (NOT Gate)
guna memperkecil kebutuhan pengendali, hal ini dapat mengurangi pengendali
clock yang diperlukan pada penyembungan panjang.

Dua buah output telah disediakan untuk melaksanakan fungsi cascade yaitu
ripple clock dan max/min pencacahan. Output max/min menghasilkan pulsa
logika

99
tinggi (‘1’) dengan lebar pulsa kira-kira sama dengan satu periode clock jika
counter mencapai hitungan minimum dan maximum.
Output ripple clock menghasilkan pulsa output logika rendah (‘0’) sesaat
dengan lebar pulsa sama dengan logika rendah dari clock input jika counter
mencapai perubahan dari maximum ke minimum atau sebaliknya.

Counter-counter ini mudah untuk dicascadekan dengan menyambungkan output


ripple clock ke input enable counter berikutnya, kalau digunakan sistem clock
paralel, atau disambungkan ke input clock counter berikutnya kalau
menggunakan peng-enable-an paralel.

Fasilitas :
- Penghitungan BCD 8-4-2-1 atau binary
- Satu jalur control penghitungan up/down
- Memiliki input control enable
- Output ripple clock untuk cascade
- Load control yang dapat di-set secara asynchron
- Parallel Output
- Datat dicascadekan untuk aplikasi n-bit counter

100
Input Outputs Inputs

Data RippleMax/ DataData


Vcc A ClockClockMin Load C D

161514131211109

1 2 3 4 5 6 7 8

Data QB QAEnableDown/QC QD GND


B
Up

Input Outputs Inputs Outputs

Gambar 6.2.11 Connection Diagram 74LS190 / 191

101
LOAD L

A H

B H
DATA

INPUTS C H

D L

CLOCK 5 6 7 9 10 11 12 13 14
1 2 3 4 8

DOWN / UP
L
ENABLE
L H

QA H L

H
QB H
L
QC H

QD L H

L
MAX/MIN
RIPPLE
CLOCK
0122 22 1 0
7 8 9 9 8 7
Desimal

COUNT UP COUNT DOWN


LOAD INHIBIT

Gambar 6.2.12. Timing Diagram IC TTL 74190 / LS190

102
Type Average Typical Clock Typical Power
Propagation Frequency Dissipation
Delay
190 , 191 20 ns 25 MHz 325 mW
LS 190 , LS 191 20 ns 25 Mhz 100 mW

103
Modul VII
ASSIGNMENT TEST

GERBANG LOGIKA

Vcc(5V)

1k
H L1

L S1

220
Vcc(5V)

1k

H S2 220
L L2

Vcc(5V)

1k

S3
H

Gambar 7.1- 1.

Set saklar input S1, S2 , S3 dan catat output tampilan pada L1 dan

L2. Catatan : 0 menyatakan bahwa saklar di set pada L

(low).

1 menyatakan bahwa saklar di set pada H (

high) Isilah Tabel Kebenaran di bawah ini :

INPUT OUTPUT
S3 S2 S1 L1 L2
0 0 0
0 0 1
0 1 0
0 1 1
1 0 0
1 0 1
1 1 0
104
1 1 1

105
ALJABAR BOOLEAN
Buatlah Rangkaian logic kombinasi di bawah ini ( Gambar 5.2 ) :

Kondisi : Jika suhu >70 derajad C atau Itensitas Cahaya >200 lm,

dan Kelembaban <30 % maka lampu akan menyala.

suhu >
70oC (A)

100
omh

?
Intensitas cahaya
> 200 lm (B)

kelembaban
< 30 % (C)

Gambar 7 - 2

- Data: Suhu >70 derajad = logika “1”

- I Cahaya >200 lm = logika “1”

- Kelembapan <30 % = logika “1”

Tugas

1. Buat Rangkaian dari rancangan saudara dan ambil data serta

masukkan ketabel kebenaran .

2. Buktikan :

XY + Y Z + XZ = X Y + X Z

(X + X Y Z) + (X + X Y Z)(X + X Y Z) = X + Y Z

106
4-BIT FULL ADDER
1. Jelaskan cara Kerja, fungsi Kerja masing-masing pin (terminal) dari rangkaian
dibawah ini dan buktikan dengan operasi matematis.

5
14
Vcc
Output Carry
1
Cout
Data Input 3 A3
A
8 A2

7483
15
10 A1
S3
16
A0 2
4
S2
B3
Data Input 7 S1 Data Output
B B2 S0
11
B1 6 S
M B0
9
Cin Gnd
1312

Mode Select

Gambar 7.3.1. Rangkaian aplikasi penggunaan IC 7483

107
SHIFT REGISTER

Lihat databook IC 7496 (5-bit shift register) dan pelajari kerja dari shift register
ini dengan menggunakan timing diagramnya.

L1

L2

L3

L4
220

220

220

220
clear

Gnd

Gnd
serial input
16 9
RQAQBQC12 QDQE SE IC 7496
5 bit shift register X

TABCUsDES
18LOAD
Vcc(5V)

Vcc(5V)

Vcc(5V)
S1

S3

S4
H

HL

HL
S2
Vcc(5V)

HL

Vcc(5V)

CLOCK

Gambar 7.4.1

108
Ripple Counter

Amati rangkaian counter asinkron dibawah ini,

L1

L2

L3

L4
220

220

220

220
L H
V
c
c(
S 5
1 V SD SD SD SD
J Q J Q J Q J Q

Cp Cp Cp Cp

K Q K Q K Q K Q
CD CD CD CD
Vcc(5V)

S2
HL

Gambar 7.5.1

1. Dengan mengasumsikan keadaan awal bahwa output semua flip-flop


berlogika 0, dan memberikan pulsa ke S2 sebanyak 17 kali, isilah tabel
kebenaran dibawah ini.

input / clock L1 L2 L3 L4 Desimal


1
2
dst

2. Buatlah Timing Diagram dari operasi rangkaian diatas


3. Rancanglah Decade counter dengan memanfaatkan rangkaian diatas.

109
Modulo-N Binary Counter

Dengan menggunakan IC TTL 7493 ini, seperti gambar dibawah ini

180

Vcc(5V)
Q0
Q3
ST
Ck

GND
14 A 1312 Q0 11 Q3 109 Q1 8 Q2

IC 7493
BRO1RO2

1234 56 7
Vcc(5V)

Vcc(5V) Vcc(5V)

1k 1k

HH

S1 S2
L L

Gambar 7.6.1 IC 7493

110
UP/DOWN COUNTER

1. Jelaskan cara kerja dan fungsi masing-masing terminal untuk IC type


74LS190, dengan data sebagai berikut :

Data Ripple Max/ Data Data

Vcc A Clock Clock Min Load C D

16 15 14 13 12 11 10 9

1 2 3 4 5 6 7 8

Data QB QA Enable Down/ QC QD GND

B G Up

74LS190
CONNECTION DIAGRAM

LOAD

DATA INPUT A

DATA INPUT B

DATA INPUT C

DATA INPUT D

CLOCK

DOWN/UP

ENABLE

QA

QB

QC

QD

MAX/MIN

RIPPLE CLOCK

LOAD COUNT UP INHIBIT COUNT DOWN

TIMING DIAGRAM
74LS190
111
2. Rencanakan sebuah tachometer digital dengan maximum pengukuran
9999 rpm. Dengan periode perubahan tampilan 1/128 detik.

112
DAFTAR PUSTAKA

1. Digital Design, Mano M. Morris,Prentice/Hall

International, New Jersey, USA, 1984.

2. Digital Logic Techniques,Principles and Practice, T.J.

Stonham, Van Nostrand Reinhold, Berkshire, England,

1984.

3. Digital Fundamentals, 3rd Edition, Thomas L. Floyd, Charles

E. Merrill Publishing Company, Ohio, USA, 1986.

113

Anda mungkin juga menyukai